基于侧信道分析的硬件木马检测技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
硬件木马是对集成电路设计或制造过程中植入的恶意电路的统称,其目的是使电路在某些特定条件下失效或泄露机密信息。由于硬件木马给现代信息系统带来的隐患和危害,木马检测技术已经成为信息安全领域的研究热点。
     本文利用侧信道分析技术,设计了一种基于FPGA的硬件木马检测平台和检测流程,完成了木马电路及其载体电路的设计,并采用功耗分析的方法进行了验证。针对应用到ASIC芯片检测中存在的问题,在以下几个方面开展了深入研究:
     首先,针对检测中木马有效激活的问题,建立了电路翻转概率模型,对影响木马激活概率的因素进行了分析,提出了一种提高木马激活度的专用结构,并对该结构的电路设计、关键参数选取和插入流程进行了详细分析。仿真结果表明通过合理设置参数,使用该结构能够在增加芯片面积不超过10%的情况下,将全部节点的翻转率提高到设定的概率阈值(Pth),提高了检测过程中木马被激活的概率,并且缩短了测试时间;相对于基于dSFF的结构,在采用相同的概率阈值时,能够节省30%以上的面积。
     然后,在建立电路功耗模型的基础上,针对木马检测中存在的工艺偏差噪声和数据冗余问题进行了分析,并基于主成分分析提出了一种木马检测数据的维度优化算法。在算法分析实验中,利用蒙特卡罗分析实现噪声数据的仿真,结果表明该算法能够降低工艺偏差噪声对检测的影响,并且实现50%以上数据维度的压缩,有效提高了木马检测的效率。
     最后,对硬件木马检测的判决机制开展了研究。首先给出木马判定的基本方法,然后分析了经典的相关系数应用在木马检测中存在的问题。通过对木马的功耗分析特征进行分类,依据不同类型的木马分别设计了基于区间重叠比值和权重变化的木马检测判决参数。仿真数据的统计分析结果表明,设计的判决参数具有良好的鲁棒性,在5%的工艺偏差的影响下,可以实现对占芯片面积2%以上的硬件木马的有效检测。
Hardware Trojans is the malicious circuits implanted in the IC design ormanufacturing process which makes integrated circuits fail under certain conditionsor disclose confidential information from the chip. Because of the hidden dangersand hazards of modern information systems due to hardware Trojans, Trojansdetection technology has become a research hotspot of information security areas.
     A hardware Trojans detection platform and detection flow based on sidechannel analysis have been designed in this paper. The Trojans circuits and carriercircuits is designed and verified based on power signal analysis. The applicationproblems in the ASIC chip detection is discussed and in-depth studies are carried outin the following areas:
     First, for the problem of Trojans activation in the detection, the circuit transitionprobability is modeled and the main factors of Trojans activation probability is analyzed. Aspecific module for Trojans Detection is proposed, the structure design, the key parameterselection and the insertion flow have been discussed in detail. The simulation results showthat this structure can raise transition probabilities of the all internal nodes to the setthreshold value with ten percent increase of area and shorten the testing time. To thedSFF-based structure, this structure has saved over30percent of area with the same Pth.
     Secondly, After the power model design, the problems of process variationnoise and data redundancy in the Trojans detection are analyzed and a datadimension optimization algorithm based on principal component analysis ispresented. Monte Carlo analysis was used to simulate the process variation in theexperiments. The simulation results show that this algorithm reduces the influenceof process variation in the detection and obtains a over fifty percents compressionrates of data dimensionalities.
     Finally, the determine mechanisms of Trojans detection are researched. Aftergiving the basic determine method, the application problem of the classicscorrelation coefficient in detection is discussed. Two determine parameters whichbased on region overlap ratio and weight respectively are design for detection ofTrojans types with the different power signal characteristic. The statistical analysisof simulation datas showed the proposed determine parameters have good robustness and effective detection can be achieved for hardware Trojans of morethan two percent of the total chip area under the influence of five percent processvariation.
引文
[1] Chakraborty R S, Narasimhan S, Bhunia S, Hardware Trojan: Threats andEmerging Solutions,2009IEEE International High Level Design Validation andTest Workshop, San Francisco:IEEE Computer Society,2009,166-171.
    [2] Can We Trust the Chips of the Future?, IEEE Design and Test of Computers,2011,28(5):96-103.
    [3] Reece T, Robinson W H, Hardware Trojans: The Defense and Attack ofIntegrated Circuits,29th IEEE International Conference on Computer Design2011,Amherst:Institute of Electrical and Electronics Engineers Inc.,2011,293-296.
    [4] Sunar B, Rise of the Hardware Trojans,2011IEEE17th International On-LineTesting Symposium, Athens:IEEE Computer Society,2011,138.
    [5] Tehranipoor M, Salmani H, Zhang X, et al., Trustworthy Hardware: TrojanDetection and Design-for-Trust Challenges, Computer,2011,44(7):66-74.
    [6] Rajendran J, Gavas E, Jimenez J, et al., Towards a Comprehensive andSystematic Classification of Hardware Trojans,2010IEEE International Symposiumon Circuits and Systems, Paris:IEEE Computer Society,2010,1871-1874.
    [7] Clark J, Leblanc S, Knight S, Hardware Trojan Horse Device Based onUnintended Usb Channels,20093rd International Conference on Network andSystem Security, Gold Coast:IEEE Computer Society,2009,1-8.
    [8] Clark J, Leblanc S, Knight S, Risks Associated with Usb Hardware TrojanDevices Used by Insiders,20115th IEEE International Systems Conference,Montreal:IEEE Computer Society,2011,201-208.
    [9] Karri R, Rajendran J, Rosenfeld K, et al., Trustworthy Hardware: Identifying andClassifying Hardware Trojans, Computer,2010,43(10):39-46.
    [10] Adamov A, Saprykin A, Melnik D, et al., The Problem of Hardware TrojansDetection in System-on-Chip,10th International Conference, CADSM2009,Lviv-Polyana:Inst. of Elec. and Elec. Eng. Computer Society,2009,178-179.
    [11] Gallais J-F, Groschadl J, Hanley N, et al., Hardware Trojans for Inducing orAmplifying Side-Channel Leakage of Cryptographic Software,2nd InternationalConference on Trusted Systems, Beijing:Springer Verlag,2011,253-270.
    [12]任立儒,新情况下的特殊武器——硬件木马,中国电子科学研究院学报,2011,6(2):140-142.
    [13]刘华锋,罗宏伟,王力纬,硬件木马综述,微电子学,2011,41(5):709-713.
    [14] Agrawal D, Baktir S, Karakoyunlu D, et al., Trojan Detection Using IcFingerprinting,2007IEEE Symposium on Security and Privacy, Berkeley:Instituteof Electrical and Electronics Engineers Inc.,2007,296-310.
    [15] Jin Y, Makris Y, Hardware Trojan Detection Using Path Delay Fingerprint,2008IEEE International Workshop on Hardware-Oriented Security and Trust,Anaheim:Inst. of Elec. and Elec. Eng. Computer Society,2008,51-57.
    [16] Koushanfar F, Mirhoseini A, A Unified Framework for Multimodal SubmodularIntegrated Circuits Trojan Detection, IEEE Transactions on Information Forensicsand Security,2011,6(1):162-174.
    [17] Rad R, Plusquellic J, Tehranipoor M, Sensitivity Analysis to Hardware TrojansUsing Power Supply Transient Signals,2008IEEE International Workshop onHardware-Oriented Security and Trust, Anaheim:Inst. of Elec. and Elec. Eng.Computer Society,2008,3-7.
    [18] Rad R M, Wang X, Tehranipoor M, et al., Power Supply Signal CalibrationTechniques for Improving Detection Resolution to Hardware Trojans,2008International Conference on Computer-Aided Design, San Jose:Institute of Electricaland Electronics Engineers Inc.,2008,632-639.
    [19] Rad R, Plusquellic J, Tehranipoor M, A Sensitivity Analysis of Power SignalMethods for Detecting Hardware Trojans under Real Process and EnvironmentalConditions, IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2010,18(12):1735-1744.
    [20] Wei S, Meguerdichian S, Potkonjak M, Gate-Level Characterization:Foundations and Hardware Security Applications,47th Design AutomationConference, Anaheim:Institute of Electrical and Electronics Engineers Inc.,2010,222-227.
    [21] Wei S, Potkonjak M, Scalable Segmentation-Based Malicious CircuitryDetection and Diagnosis,2010IEEE/ACM International Conference onComputer-Aided Design, San Jose:Institute of Electrical and Electronics EngineersInc.,2010,483-486.
    [22] Wei S, Potkonjak M, Scalable Consistency-Based Hardware Trojan Detectionand Diagnosis, Proceedings-20115th International Conference on Network andSystem Security, NSS2011,2011:176-183.
    [23] Wei S, Potkonjak M, Scalable Hardware Trojan Diagnosis, IEEE Transactionson Very Large Scale Integration (VLSI) Systems,2012,20(6):1049-1057.
    [24] Aarestad J, Acharyya D, Rad R, et al., Detecting Trojans through LeakageCurrent Analysis Using Multiple Supply Pad Iddqs, IEEE Transactions onInformation Forensics and Security,2010,5(4):893-904.
    [25] Narasimhan S, Du D, Subhra Chakraborty R, et al., Multiple-ParameterSide-Channel Analysis: A Non-Invasive Hardware Trojan Detection Approach,2010IEEE International Symposium on Hardware-Oriented Security and Trust,Anaheim:IEEE Computer Society,2010,13-18.
    [26] Narasimhan S, Du D, Chakraborty R, et al., Hardware Trojan Detection byMultiple-Parameter Side-Channel Analysis, IEEE Transactions on Computers,2012,PP(99):1-14.
    [27] Ferraiuolo A, Zhang X, Tehranipoor M, Experimental Analysis of a RingOscillator Network for Hardware Trojan Detection in a90nm Asic,201230thIEEE/ACM International Conference on Computer-Aided Design, San Jose:Instituteof Electrical and Electronics Engineers Inc.,2012,37-42.
    [28] Zhang X,T ehranipoor M, Ron: An on-Chip Ring Oscillator Network forHardware Trojan Detection,14th Design, Automation and Test in EuropeConference and Exhibition, Grenoble:Institute of Electrical and ElectronicsEngineers Inc.,2011,1638-1643.
    [29] Li M, Davoodi A, Tehranipoor M, A Sensor-Assisted Self-AuthenticationFramework for Hardware Trojan Detection,15th Design, Automation and Test inEurope Conference and Exhibition, Dresden:Institute of Electrical and ElectronicsEngineers Inc.,2012,1331-1336.
    [30] Narasimhan S, Yueh W, Wang X, et al., Improving Ic Security against TrojanAttacks through Integration of Security Monitors, IEEE Design and Test ofComputers,2012,29(5):37-46.
    [31] Jin Y, Kupp N, Makris Y, Experiences in Hardware Trojan Design andImplementation,2009IEEE International Workshop on Hardware-Oriented Securityand Trust, San Francisco:IEEE Computer Society,2009,50-57.
    [32] Jin Y, Makris Y, Hardware Trojans in Wireless Cryptographic Ics, IEEE Designand Test of Computers,2010,27(1):26-35.
    [33] Jin Y, Maliuk D, Makris Y, Post-Deployment Trust Evaluation in WirelessCryptographic Ics,15th Design, Automation and Test in Europe Conference andExhibition, Dresden:Institute of Electrical and Electronics Engineers Inc.,2012,965-970.
    [34] Narasimhan S, Chakraborty R S, Chakraborty S, Hardware IP ProtectionDuring Evaluation Using Embedded Sequential Trojan, IEEE Design and Test ofComputers,2012,29(3):70-79.
    [35] Salmani H, Tehranipoor M, Plusquellic J, New Design Strategy for ImprovingHardware Trojan Detection and Reducing Trojan Activation Time,2009IEEEInternational Workshop on Hardware-Oriented Security and Trust, SanFrancisco:IEEE Computer Society,2009,66-73.
    [36] Kim L-W, Villasenor J D, Koc C K, A Trojan-Resistant System-on-Chip BusArchitecture,2009IEEE Military Communications Conference, Boston:Institute ofElectrical and Electronics Engineers Inc.,2009,1-6.
    [37] Kim L-W, Villasenor J D, A System-on-Chip Bus Architecture for ThwartingIntegrated Circuit Trojan Horses, IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2011,19(10):1921-1926.
    [38] Das A, Memik G, Zambreno J, et al., Detecting/Preventing Information Leakageon the Memory Bus Due to Malicious Hardware,2010Design, Automation and Testin Europe Conference and Exhibition, Dresden:Institute of Electrical and ElectronicsEngineers Inc.,2010,861-866.
    [39] Liu Changlong, Zhao Yiqiang, Shi Yafeng, et al., A System-on-Chip BusArchitecture for Hardware Trojan Protection in Security Chips,2011IEEEInternational Conference of Electron Devices and Solid-State Circuits, Tianjin:IEEEComputer Society,2011,1-2.
    [40]糜旗,胡麒,徐超,等,硬件木马的分析与检测,计算机与现代化,2012,11):155-157.
    [41]郑朝霞,韩玲,李阳,等,一种木马电路的实现与特征分析,微电子学与计算机,2012,29(010):78-80.
    [42]陈开颜,赵强,张鹏,等,集成电路芯片信息泄漏旁路分析模型,微计算机信息,2006,22(16):74-75.
    [43]张鹏,邹程,邓高明,等,基于电磁泄漏相关性分析的硬件木马设计,华中科技大学学报:自然科学版,2011,38(10):22-25.
    [44]邹程,张鹏,邓高明,等,基于功率旁路泄露的硬件木马设计,计算机工程,2011,37(11):135-137.
    [45]刘长龙,赵毅强,史亚峰,等,基于侧信道分析的硬件木马建模与优化,华中科技大学学报:自然科学版,2013,41(2):53-57.
    [46] Wolff F, Papachristou C, Bhunia S, et al., Towards Trojan-Free Trusted Ics:Problem Analysis and Detection Scheme, Design, Automation and Test in Europe2008, Munich:Institute of Electrical and Electronics Engineers Inc.,2008,1362-1365.
    [47] Wang X, Tehranipoor M, Plusquellic J, Detecting Malicious Inclusions inSecure Hardware: Challenges and Solutions,2008IEEE International Workshop onHardware-Oriented Security and Trust, Anaheim:Inst. of Elec. and Elec. Eng.Computer Society,2008,15-19.
    [48] Yun S, Li Q, Gao H, et al., Towards Hardware Trojan: Problem Analysis andTrojan Simulation,2nd International Conference on Information Engineering andComputer Science, Wuhan:IEEE Computer Society,2010,1-4.
    [49] Potkonjak M, Nahapetian A, Nelson M, et al., Hardware Trojan HorseDetection Using Gate-Level Characterization,200946th ACM/IEEE DesignAutomation Conference, San Francisco:Institute of Electrical and ElectronicsEngineers Inc.,2009,688-693.
    [50] Becker G T, Lakshminarasimhan A, Lin L, et al., Implementing HardwareTrojans: Experiences from a Hardware Trojan Challenge,29th IEEE InternationalConference on Computer Design2011, Amherst:Institute of Electrical andElectronics Engineers Inc.,2011,301-304.
    [51] Zhang X, Tuzzio N, Tehranipoor M, Red Team: Design of Intelligent HardwareTrojans with Known Defense Schemes,29th IEEE International Conference onComputer Design2011, Amherst:Institute of Electrical and Electronics EngineersInc.,2011,309-312.
    [52] Liu H, Luo H, Wang L, Design of Hardware Trojan Horse Based on Counter,2011International Conference on Quality, Reliability, Risk, Maintenance, andSafety Engineering, Xi'an:IEEE Computer Society,2011,1007-1009.
    [53] Wang X, Narasimhan S, Krishna A, et al., Sequential Hardware Trojan:Side-Channel Aware Design and Placement,29th IEEE International Conference onComputer Design2011, Amherst:Institute of Electrical and Electronics EngineersInc.,2011,297-300.
    [54] Tehranipoor M, Koushanfar F, A Survey of Hardware Trojan Taxonomy andDetection, IEEE Design& Test of Computers,2010,27(1):10-25.
    [55] Wang X, Narasimhan S, Krishna A, et al., Scare: Side-Channel Analysis BasedReverse Engineering for Post-Silicon Validation,25th International Conference onVLSI Design, Hyderabad:IEEE Computer Society,2012,304-309.
    [56] Yust J R, Hardware Trojan Detection through Structural Checking:[Doctor'sThesis], University of Arkansas,2011.
    [57] Banga M, Hsiao M S, Trusted RTL: Trojan Detection Methodology inPre-Silicon Designs,2010IEEE International Symposium on Hardware-OrientedSecurity and Trust, Anaheim:IEEE Computer Society,2010,56-59.
    [58] Chakraborty R S, Paul S, Bhunia S, On-Demand Transparency for ImprovingHardware Trojan Detectability,2008IEEE International Workshop onHardware-Oriented Security and Trust, Anaheim:Inst. of Elec. and Elec. Eng.Computer Society,2008,48-50.
    [59] Zhang X, Tehranipoor M, Case Study: Detecting Hardware Trojans inThird-Party Digital Ip Cores,2011IEEE International Symposium onHardware-Oriented Security and Trust, San Diego:IEEE Computer Society,2011,67-70.
    [60] Wang X, Salmani H, Tehranipoor M, et al., Hardware Trojan Detection andIsolation Using Current Integration and Localized Current Analysis,23rd IEEEInternational Symposium on Defect and Fault Tolerance in VLSI Systems,Boston:Institute of Electrical and Electronics Engineers Inc.,2008,87-95.
    [61] Rai D, Lach J, Performance of Delay-Based Trojan Detection Techniques underParameter Variations,2009IEEE International Workshop on Hardware-OrientedSecurity and Trust, San Francisco:IEEE Computer Society,2009,58-65.
    [62] Lamech C, Plusquellic J, Trojan Detection Based on Delay Variations MeasuredUsing a High-Precision, Low-Overhead Embedded Test Structure,2012IEEEInternational Symposium on Hardware-Oriented Security and Trust, SanFrancisco:IEEE Computer Society,2012,75-82.
    [63] Du D, Hardware Trojan Detection Using Multiple-Parameter Side-ChannelAnalysis:[Master's Thesis], Case Western Reserve University,2010.
    [64] Rahmatian M, Kooti H, Harris I G, et al., Minimization of Trojan Footprint byReducing Delay/Area Impact,2012IEEE International Symposium on Defect andFault Tolerance in VLSI and Nanotechnology Systems, Austin:Institute of Electricaland Electronics Engineers Inc.,2012,59-62.
    [65] Chakraborty R S, Bhunia S, Security against Hardware Trojan through a NovelApplication of Design Obfuscation,2009IEEE/ACM International Conference onComputer-Aided Design, San Jose:Institute of Electrical and Electronics EngineersInc.,2009,113-116.
    [66] Chakraborty R S, Bhunia S, Security against Hardware Trojan Attacks UsingKey-Based Design Obfuscation, Journal of Electronic Testing: Theory andApplications (JETTA),2011,27(6):767-785.
    [67] Chakraborty R S, Hardware Security through Design Obfuscation:[Doctor'sThesis], Case Western Reserve University,2010.
    [68] Monnet Y, Renaudin M, Leveugle R, Designing Resistant Circuits againstMalicious Faults Injection Using Asynchronous Logic, IEEE Transactions onComputers,2006,55(9):1104-1115.
    [69] Keren O, Levin I, Sinelnikov V, Detection of Trojan Hw by Using HiddenInformation on the System,2011IEEE17th International On-Line TestingSymposium, Athens:IEEE Computer Society,2011,192-193.
    [70] Beaumont M, Hopkins B, Newby T, Safer Path: Security Architecture UsingFragmented Execution and Replication for Protection against Trojaned Hardware,15th Design, Automation and Test in Europe Conference and Exhibition,Dresden:Institute of Electrical and Electronics Engineers Inc.,2012,1000-1005.
    [71] Bhunia S, Abramovici M, Agrawal D, et al., Protection against HardwareTrojan Attacks: Towards a Comprehensive Solution, IEEE Design and Test ofComputers,2011, PP(99):1-12.
    [72]刘华锋,基于FPGA的硬件木马测试与检测:[硕士学位论文],华南理工大学,2011.
    [73] Zheng J X, Chen E, Potkonjak M, A Benign Hardware Trojan on Fpga-BasedEmbedded Systems,22nd International Conference on Field Programmable Logicand Applications, Oslo:IEEE Computer Society,2012,464-470.
    [74] Santos J C M, Fei Y, Designing and Implementing a Malicious8051Processor,2012IEEE International Symposium on Defect and Fault Tolerance in VLSI andNanotechnology Systems, Austin:Institute of Electrical and Electronics EngineersInc.,2012,63-66.
    [75]赵毅强,刘长龙,严新文,嵌入式低功耗8位微控制器的设计,天津大学学报,2010,43(012):1098-1102.
    [76]刘岑,赵毅强,刘长龙,一种高可靠性微控制器的设计与VLSI实现,计算机工程与应用,2012,48(6):53-56.
    [77] Yau C H, Tan Y Y, Fong A S, et al., Embedded Architectural Design UsingProtection Logics to Defend Attack of Buffer Overflow and Unauthorized Access ofCode,8th IEEE International Conference on Computer and Information TechnologyWorkshops, Sydney:Inst. of Elec. and Elec. Eng. Computer Society,2008,264-269.
    [78]陈志敏,安全芯片旁路功耗分析及抗攻击措施:[硕士学位论文],上海交通大学,2007.
    [79] Wang L-W, Luo H-W, A Power Analysis Based Approach to Detect TrojanCircuits,2011International Conference on Quality, Reliability, Risk, Maintenanceand Safety Engineering, Xi'an:IEEE Computer Society,2011,380-384.
    [80]涂皓,基于旁路分析的硬件木马设计实现:[硕士学位论文],国防科学技术大学,2010.
    [81] Lin L, Kasper M, Guneysu T, et al., Trojan Side-Channels: LightweightHardware Trojans through Side-Channel Engineering,11th International Workshopon Cryptographic Hardware and Embedded Systems, Lausanne:Springer Verlag,2009,382-395.
    [82] Lin L, Burleson W, Paar C, Moles: Malicious Off-Chip Leakage Enabled bySide-Channels,2009IEEE/ACM International Conference on Computer-AidedDesign, San Jose:Institute of Electrical and Electronics Engineers Inc.,2009,117-122.
    [83] Kocher P, Jaffe J, Jun B, Differential Power Analysis,19th Annual InternationalCryptology Conference Proceedings, Berlin:Springer-Verlag,1999,388-397.
    [84] Mangard S, Oswald E,Popp T, Power Analysis Attacks: Revealing the Secretsof Smart Cards, USA: Springer,2007.
    [85] Alioto M, Poli M, Rocchi S, Differential Power Analysis Attacks to PrechargedBuses: A General Analysis for Symmetric-Key Cryptographic Algorithms, IEEETransactions on Dependable and Secure Computing,2010,7(3):226-239.
    [86]褚杰,赵强,丁国良,等,密码系统差分功耗分析攻击及功耗模型,华中科技大学学报:自然科学版,2008,36(6):18-21.
    [87]郑新建,沈绪榜,张翌维,等,改进的差分功耗分析及其在DES中的应用,吉林大学学报:信息科学版,2009,27(1):62-67.
    [88] Salmani H, Design Methodologies for Improving the Trustworthiness andQuality of Integrated Circuits:[Doctor's Thesis], University of Connecticut,2011.
    [89] Salmani H, Tehranipoor M, Plusquellic J, A Novel Technique for ImprovingHardware Trojan Detection and Reducing Trojan Activation Time, IEEETransactions on Very Large Scale Integration (VLSI) Systems,2012,20(1):112-125.
    [90] Banga M, Hsiao M S, A Novel Sustained Vector Technique for the Detection ofHardware Trojans,22nd International Conference on VLSI Design, New Delhi:Inst.of Elec. and Elec. Eng. Computer Society,2009,327-332.
    [91]徐永钊,田祖伟,阳若宁,等, SoC门级功耗分析方法,通信技术,2011,44(2):146-148.
    [92] Chang H, Sapatnekar S S, Full-Chip Analysis of Leakage Power under ProcessVariations, Including Spatial Correlations,42nd Design Automation Conference,Anaheim:Institute of Electrical and Electronics Engineers Inc.,2005,523-528.
    [93]陈志强,超深亚微米CMOS集成电路功耗估计方法及相关算法研究:[博士学位论文],杭州:浙江大学电气工程学院,2006.
    [94] Tiri K, Verbauwhede I, Simulation Models for Side-Channel Information Leaks,42nd Design Automation Conference, Anaheim:Institute of Electrical andElectronics Engineers Inc.,2005,228-233.
    [95]王延宁,面向全定制与半定制混合设计方法的噪声分析与设计:[硕士学位论文],国防科学技术大学,2008.
    [96] Unsal O S, Tschanz J W, Bowman K, et al., Impact of Parameter Variations onCircuits and Microarchitecture, IEEE Micro,2006,26(6):30-39.
    [97] Borkar S, Karnik T, Narendra S, et al., Parameter Variations and Impact onCircuits and Microarchitecture, Proceedings of the40th Design AutomationConference, Anaheim:Institute of Electrical and Electronics Engineers Inc.,2003,338-342.
    [98] Sarangi S R, Greskamp B, Teodorescu R, et al., Varius: A Model of ProcessVariation and Resulting Timing Errors for Microarchitects, IEEE Transactions onSemiconductor Manufacturing,2008,21(1):3-13.
    [99] Lamech C, Rad R M, Tehranipoor M, et al., An Experimental Analysis ofPower and Delay Signal-to-Noise Requirements for Detecting Trojans and Methodsfor Achieving the Required Detection Sensitivities, IEEE Transactions onInformation Forensics and Security,2011,6(3):1170-1179.
    [100]申中华,数据降维技术的建模研究与应用:[硕士学位论文],江南大学,2008.
    [101]杨开睿,孟凡荣,梁志贞,一种自适应权值的PCA算法,计算机工程与应用,2012,48(3):189-191.
    [102]宦若虹,张平,潘赟, PCA, ICA和Gabor小波决策融合的SAR目标识别,遥感学报,2012,16(2):262-274.
    [103]王荣辉,宗若雯,王正洲,等,主成分分析法和Fisher判别方法在汽油分类分析过程中的应用,中国科学技术大学学报,2006,36(12):1331-1335.
    [104]龚劬,卢力,廖武忠,基于主成分分析的人脸个体差异识别算法,计算机工程,2012,38(1),146-147.
    [105] Wold S, Esbensen K, Geladi P, Principal Component Analysis, Chemometricsand intelligent laboratory systems,1987,2(1):37-52.
    [106] Smith L I, A Tutorial on Principal Components Analysis, Cornell University,USA,2002.
    [107] Shlens J, A Tutorial on Principal Component Analysis, Systems NeurobiologyLaboratory, University of California at San Diego,2005.
    [108] O’Riordan D, Recommended Spectre Monte Carlo Modeling Methodology,The Designers Guide Community, www. designers-guide. org,2006.
    [109]桂智华,工艺偏差下的电源地网络快速仿真分析方法:[硕士学位论文],复旦大学,2011.
    [110] MacKay D J, Introduction to Monte Carlo Methods, NATO ASI SERIES D,BEHAVIOURAL AND SOCIAL SCIENCES,1998.
    [111] Andrieu C, Monte Carlo Methods for Absolute Beginners, Advanced Lectureson Machine Learning,2004:113-145.
    [112]赵耀,韩泽耀,付宇卓,基于蒙特卡罗仿真的LDPC解码器功耗分析方法,计算机工程,2009,35(1):216-217.
    [113]陶俊,纳米尺度集成电路建模与分析方法研究:[博士学位论文],复旦大学,2007.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700