片上网络无虚通道容错路由技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着微电子技术的发展,片上系统(System-on-Chip,SoC)中集成的IP核数目不断增加。传统的SoC共享总线结构无法满足多IP体系的发展需要。研究者们借鉴计算机通信网络技术的思想,提出了一种新的片上互连结构——片上网络(Networks-on-Chip,NoC)。NoC在结构上实现了通信模块和计算模块的分离,具有良好的并行通信能力,解决了传统的SoC总线扩展性差,通信效率低和全局同步功耗高等问题,成为了当前片上互连的设计趋势。
     在集成电路特征尺寸减小、频率升高的趋势下,NoC对于串扰、电磁干扰、电压扰动等噪声干扰更为敏感,并可能导致错误数据的产生。另外,随着晶体管密度增大,芯片在生产时出现缺陷以及运行过程中出现故障的概率也随之增加,因此针对NoC的容错技术成为近年来相关领域的研究热点。本文以NoC容错路由为对象,研究片上网络无虚通道容错算法以及仿真验证平台的设计和构建,得出了一些新的、较为实用的技术和研究成果。主要内容包括:
     1.单故障节点NoC无虚通道容错算法研究。在分析单故障节点NoC的结构特点的基础上,提出了一种新的无虚通道容错算法,以弥补现有算法在处理单故障节点NoC路由问题时存在的不足。该算法通过设置辅助节点和优化绕行策略,实现了均衡片上网络负载和减少网络传输时延的目的。在此基础上,推导证明了所提算法的无死锁性。静态和动态仿真表明:与现有算法相比,该算法具有低功耗、低时延的特点。
     2.多故障节点NoC无虚通道容错算法研究。针对现有多故障节点无虚通道容错算法存在的问题,提出了一种适用于2D Mesh NoC的多故障节点无虚通道容错算法。详细分析了算法的路由器设计、优化方法及实现步骤,并在此基础上利用通道依赖图(Channel Dependency Graph,CDG)理论,证明了其具有无死锁性。进一步的仿真表明,与现有算法相比,该算法能够减少通信时延,且随着故障区域面积的增加,效果更为明显。
     3.基于链路故障模型的NoC无虚通道容错路由算法研究。针对采用节点故障模型的容错算法存在故障区域面积大、节点利用率低的问题,提出一种基于链路故障模型的无虚通道容错算法。通过建立新的故障模型和优化容错路由策略,可有效减少绕行距离,并提高节点利用率。仿真表明:与采用节点故障模型的无虚通道容错算法相比,所提算法可减少传输时延,提高网络吞吐量。
     4. NOC软件仿真工具的开发和应用。以OPNET为基础,通过在网络域、节点域和进程域三个层面对NoC系统进行的建模,实现了一种模块化的通用仿真工具——基于OPNET的NoC仿真平台。用户可以选择平台中己有模型进行NOC的仿真。同时该平台支持二次开发,用户能够通过修改相应模块的方式,增加新的拓扑结构、路由节点和路由算法。
     5. NoC硬件仿真平台的设计和实现。分析了两种硬件平台的设计思路和结构,可以实现对NOC拓扑结构、路由节点和路由算法的仿真和验证。
With the development of microelectronics technology, more and more IP corescould be integrated on a single multiprocessor System-on-Chip (SoC). The traditionalSoC shared bus architecture does not meet the need of multi-IP system development. Tosolve this problem, a new on-chip interconnection architecture called Networks-on-Chip (NoC) is proposed by referencing the computer network technology. NoC realizesthe separation of communication module and computation module, has good parallelcommunication capability, and solves the shortages existed in SoC such as lowexpansibility, low communication efficiency, high power consumption due to globalsynchronization. NoC has become a design trend for on-chip interconnections.
     With the reduction of feature size and higher frequency, NoC becomes moresensitive and unreliable to the noises caused by crosstalk, electromagnetic interferenceand voltage disturbance, etc. At the same time, the possibility of faults which occurs inmanufacturing process or operational phase increase as transistor density on IC becomeshigher and higher. Therefore, the fault tolerant technique for NoC has become a researchfocus in the related field. With the fault tolerant routing as the research prototype, thisdissertation focuses on fault tolerant routing algorithm without virtual channels andsimulation platform design of NoC. Some novel and practical results are also gained inthis paper. The main contributions are summarized as follows:
     1. Single fault NoC tolerant algorithm without virtual channels has been studied.On basis of analyzing the structure of single fault NoC, a novel tolerant routingalgorithm is proposed to solve the deficiency of using existing algorithms in single faultNoC routing. By setting new auxiliary nodes and the optimized fault tolerant strategy,the network load can be balanced and the transmission delay is reduced. Moreover,deadlock free behavior of it is proved. Static and dynamic simulation results show thatproposed algorithm has the advantages of less power consumption and low delay.
     2. Multiple faults NoC tolerant algorithm without virtual channels has been studied.With consideration of the problems of the existing algorithms, a novel fault tolerantrouting algorithm without using virtual channels for2D Mesh NoC is proposed. Therouter design is presented, and then optimization methods and implementation steps ofproposed algorithm are discussed. On this basis, its deadlock freeness is proved usingChannel Dependency Graph (CDG) theory. Simulation results show that the proposedalgorithm can reduce communication latency and has better performance when the faultarea increases.
     3. Links fault model based NoC tolerant algorithm without virtual channels hasbeen studied. According to the deficiency of both larger fault region and low utilizationratio of nodes that exists in the nodes fault model, a novel fault tolerant routingalgorithm without using virtual channels based on links fault model is proposed.Because of using the new model and the optimized fault tolerant strategy, detourdistance of faults can be reduced and utilization ratio of nodes can be increased.Simulation results show that the proposed algorithm can reduce transmission delay andincrease network throughput.
     4. Development and application of the NoC simulation tool. By carrying on themodeling of the network domain, the node domain and the process domain in OPNET, amodular software simulation tool—OBNSP (OPNET Based NoC Simulation Platform)is constructed. We can use the models base of the platform to make simulations of NoC.The platform also supports secondary development, and the new topology, the routerarchitecture and the routing algorithms can be added by modifying correspondingmodules.
     5. Design and Implementation of NOC hard ware emulation and evaluationplatform. Introduce the design methods and structure of the two hardware platforms.Simulations of the NoC topology, the router architecture and the routing algorithms canbe realized.
引文
[1] Multi-Core Computing in Embedded Applications: Global Market Opportunity andRequirement Analysis [EB/OL].(2007)[2013-6-27]. http://www.vdcresearch.com/_Documents/executivebrief/wp-attachment-2084.pdf
    [2]黄海林.高可靠处理器体系结构研究.北京:中国科学院计算技术研究所博士学位论文,2006:1-2.
    [3] A. Deorio, D. Fick, V. Bertacco, et al. A Reliable Routing Architecture andAlgorithm for NoCs. IEEE Transactions on Computer-Aided Design of IntegratedCircuits and Systems,2012,31(5):726-739
    [4] M. Ebrahimi, M. Daneshtalab, J. Plosila. High Performance Fault-Tolerant RoutingAlgorithm for NoC-Based Many-Core Systems.21st Euromicro InternationalConference on Parallel, Distributed and Network-Based Processing (PDP),2013:462-469
    [5] The International technology roadmap for semiconductors [EB/OL].(2010)[2013-6-26]. http://www.itrs.net/Links/2010ITRS/Home2010.htm
    [6]郭斌,沈艳,林永宏等. SOC技术原理与应用.北京:清华大学出版社,2006.
    [7] M. Edahiro, S. Matsushita, M. Yamashina, et al. A single-chip multiprocessor forsmart terminals. IEEE Micro Magazine,2000,20(4):12-20.
    [8] Lei Chai, Qi Gao, D.K.Panda. Understanding the Impact of Multi-CoreArchitecture in Cluster Computing: A Case Study with Intel Dual-Core System.Seventh IEEE International Symposium on Cluster Computing and the Grid,2007:471-478
    [9] L. Benini, G. De Mieheli. Networks on chips: a new SOC paradigm. IEEEComputer,2002,35(1):70-78
    [10]C. Grecu, P.P. Pande, A. Ivanov, et al. A scalable communication-centric SoCinterconnect architecture.5th International Symposium on Quality ElectronicDesign,2004:343-348
    [11]A. Hemani, A. Jantsch, S. Kumar, et al. Network on a chip: an architecture forbillion transistor era. Proc. IEEE NorChip Conference,2000:166-173
    [12]朱晓静.片上网络的结构设计与性能分析.成都:中国科学技术大学博士学位论文,2008:1-2.
    [13]E. Salminen, A. Kulmala. On network-on-chip comparison. Proceedings of theTenth Euromicro Conference on Digital System Design: Architectures, Methodsand Tools,2007:503-510.
    [14]J. Axel, T. Hannu. Networks on chips. Kluwer Academic Publishers,2003.
    [15]S. Kumar, A. Jantsch, J. Soininen, et al. A network on chip architecture and designmethodology. Proceedings of2002IEEE Computer Society Annual Symposium onVLSI,2002:105-112.
    [16]P. Kundu and Li-Shiuan Peh. Guest Editors' Introduction: On-Chip Interconnectsfor Multicores. IEEE Micro,2007,27(5):3-5
    [17]W.J.Dally, B.Towles. Route Packets, Not Wires: On-Chip Interconnection Networks.Design Automation Conference,2001:684-689
    [18]付方发.基于片上网络的MPSoC关键技术研究.哈尔滨:哈尔滨工业大学博士学位论文,2012:1-2
    [19]J. Henkel, W. Wolf, S. Chakradhar. On Chip Networks: a scalable communication-centric embedded system design paradigm. Proceedings of17th InternationalConference on VLSI Design,2004:845-851
    [20]Y. Hoskote, S. Vangal, A. Singh, et al. A5-GHz Mesh Interconnect for a TeraflopsProcessor. IEEE Micro Magazine,2007,27(5):51-61
    [21]J. Flich, S. Rodrigo, et al. On the Potential of NoC Virtualization for MulticoreChips. International Conference on Complex, Intelligent and Software IntensiveSystems,2008:801-807
    [22]C. Constantinescu. Trends and Challenges in VLSI Circuit Reliability. IEEE MicroMagazine,2003,23(4):14-19
    [23]王颀,单智阳,朱云涛等.串扰约束下超深亚微米顶层互连线性能的优化设计.电子学报,2006,34(2):214-219
    [24]T.J. Slegel, R.M. Averill III, M.A. Check, et al. IBM's S/390G5microprocessordesign. IEEE Micro Magazine,1999,19(2):12–23
    [25]Jongman Kim, Chrysostomos Nicopoulos, Dongkook Park, et al. A GracefullyDegrading and Energy-Efficient Modular Router Architecture for On-ChipNetworks. ISCA ‘06,2006:4-15.
    [26]Yu Qiaoyan, P. Ampadu. Dual-Layer Adaptive Error Control for Network-on-ChipLinks.IEEE Transactions on Very Large Scale Integration (VLSI) Systems,2012,20(7):1304-1317
    [27]Wang Bin, Xie Jing, Mao Zhigang, et al. Multiple continuous error correct code forhigh performance network-on-chip. Asia Pacific Conference on PostgraduateResearch in Microelectronics and Electronics,2011:98-101
    [28]S. Jovanovic, C. Tanougast, S. Weber, et al. A new deadlock-free fault-tolerantrouting algorithm for NoC interconnections. International Conference on FieldProgrammable Logic and Applications,2009:326-331
    [29]M. Ebrahimi, M. Daneshtalab, J. Plosila. High Performance Fault-Tolerant RoutingAlgorithm for NoC-Based Many-Core Systems.21st Euromicro InternationalConference on Parallel, Distributed and Network-Based Processing (PDP),2013:462-469
    [30]Z. Zhang, A Greiner and S Taktak. A Reconfigurable Routing Algorithm for a Fault-Tolerant2D-Mesh Network-on-chip, Design Automation Conference,2008:441-446
    [31]W. J. Dally, C. L. Seitz. Deadlock-Free Message Routing in Multiprocessor Inter-connection Networks. IEEE Transactions on Computers,1987,36(5):547–553
    [32]D.H. Linder, J.C. Harden. An adaptive and fault-tolerant wormhole routingstrategies for k-ary n-cubes. IEEE Transactions on Computers,1991:2-12
    [33]R.V. Boppana, S. Chalasani. Fault-tolerant wormhole routing algorithms for meshnetworks. IEEE Transactions on Computers,1995,44(7):848-864
    [34]S.Chalasani, R.V. Boppana,. Communication in multicomputers with nonconvexfaults. IEEE Transactions on Computers,1997,46(5):616–622
    [35]R.V. Boppana, S. Chalasani. Fault-tolerant communication with partitioned dimen-sion-order routers. IEEE Transactions on Parallel and Distributed Systems,1999,10(10):1026–1039
    [36]Pao-Hwa Sui, Sheng-De Wang. An improved algorithm for fault-tolerant wormholerouting in meshes. IEEE Transactions on Computers,1997,46(9):1040–1042
    [37]M. J. Tsai. Fault-tolerant routing in wormhole meshes. Journal of InterconnectionNetworks,2003,4(5):463-495
    [38]A. A. Chien. A Cost and Speed Model for k-ary n-Cube Wormhole Routers [J].IEEE Transactions on Parallel and Distributed Systems,1998,9(2):150-162.
    [39]T. Dumitras, S. Kerner, R. Marculescu. Towards on-chip fault-tolerant communi-cation Proceedings of Asia and South Pacific Design Automation Conference,2003:225–232
    [40]M. Pirretti, G.M. Link, R.R. Brooks, et al. Fault Tolerant Algorithms for Network-On-Chip Interconnect. Proceedings. IEEE Computer society Annual Symposiumon VLSI,2004:46~51
    [41]Srinivasan Murali, David Atienz, Luca Benini, et al. A Method for Routing PacketsAcross Multiple Paths in NoCs with In-Order Delivery and FaultToleranceGaurantees. VLSI Design,2007
    [42]T. Schonwald, J. Zimmermann, O. Bringmann, et al. Fully Adaptive Fault-TolerantRouting Algorithm for Network-on-Chip Architectures.10th Euromicro Conferenceon Digital System Design Architectures, Methods and Tools,2007:527-534
    [43]Young Bok Kim, Yong-Bin Kim. Fault Tolerant Source Routing forNetwork-on-chip.22nd IEEE International Symposium on Defect and Fault-Tolerance in VLSI Systems,2007:12-20
    [44]Jie Wu. A Fault-Tolerant and Deadlock-Free Routing Protocol in2-D Meshes Basedon Odd-Even Turn Model. IEEE Transactions on Computers,2003,52(9):1154-1169
    [45]Chen Kuo-Hsuan, Chiu Ge-Ming. Fault-tolerant routing algorithm for mesheswithout using virtual channels. Journal of Information Science and Engineering,1998,14(4):765-783
    [46]R Holsmark and S Kumar. Corrections to Chen and Chiu's Fault Tolerant RoutingAlgorithm for Mesh Networks. Journal of Information Science and Engineering,2007,23(6):1649-1662.
    [47]Y. Fukushima, M. Fukushi, S. Horiguchi, Fault-Tolerant Routing Algorithm forNetwork on Chip without Virtual Channels.24th IEEE International Symposium onDefect and Fault Tolerance in VLSI Systems,2009:313–321
    [48]Duato Jose, Yalamanchili Sudhakar, Ni Lionel. Interconnection Networks: AnEngineering Approach. Publishing House of Electronics Industry,2004
    [49]徐欣,王长山.一种不规则2D Mesh的NoC路由算法.计算机与现代化,2010,(5):111-114
    [50]欧阳一鸣,屠强,郭凯,梁华国.基于片上网络的容错机制.电信科学,2010,26(8):72-82
    [51]张磊,李华伟,李晓维.用于片上网络的容错通信算法.计算机辅助设计与图形学报,2007,19(4):508-514
    [52]付斌章,韩银和,李华伟等.面向高可靠片上网络通信的可重构路由算法.计算机辅助设计与图形学报,2011,23(3):448-455
    [53]Fangfa Fu, Jianxin Ma, Zixu Wu, Jinxiang Wang. Low-Cost RouterMicroarchitecure Based on Dimension-Switch for Fault-Tolerance in2D-MeshNoC.2011International Academic symposium on Optoelectronic andMicroelectronics Technology,2011:318-32
    [54]郑焱,王红,杨士元.基于功能故障模型的NoC容错路由.计算机研究与发展,2010,47:147-152
    [55]罗莎莎,徐成,刘彦.一种片上网络的低延迟容错算法.计算机工程,2010,36(16):94-96
    [56]陈庆强,罗兴国,张帆,刘亮亮.基于故障节点再利用的细粒度NoC容错路由算法.计算机应用研究,2012,29(07):2586-2588
    [57]Chae-Eun Rhee, Han-You Jeong, Soonhoi Ha. Many-to-many core-switch mappingin2-D mesh NoC architectures. IEEE International Conference on ComputerDesign: VLSI in Computers and Processors,2004:438-443
    [58]Chae-Eun Rhee, Han-You Jeong, Soonhoi Ha. Many-to-many core-switch mappingin2-D mesh NoC architectures. IEEE International Conference on ComputerDesign: VLSI in Computers and Processors,2004:438-443
    [59]Axel Jantsch, Hannu Tenhunen. Networks on Chip. Kluwer Academic Publishers,2003
    [60]A. Hemani, A. Jantsch,S. Kumar et al. Network on a chip: an architecture forbillion transistor era. Proc. IEEE NorChip Conference,2000:166-173
    [61]L. Benini and G. De Micheli. Networks on chip: a new paradigm for systems onchip design. Proc. Design Automation and Test in Europe (DATE),2002:418-419
    [62]SRINIVASANK, CHATHAKS, G. KONJEVOD. Linear-program-ming-based tech-niques for synthesis of network-on-chip architectures. IEEE Transactions on VeryLarge Scale Integration Systems,2006,14(4):407-420
    [63]U.Y. OGRAS, R. MARCULESCU, HYUNG GYU L, et al. Communicationarchitecture optimization: Making the shortest path shorter in regular networks-on-chip. Proceedings of Design, Automation and Test in Europe Conference,2006:6
    [64]P. Wielage, K. Goossens. Networks on silicon: blessing or nightmare? ProceedingsEuromicro Symposium on Digital System Design,2002:196–200
    [65]A. PINTO, L. P. CARLONI, A. L. SANGIOVANNI-VINCENTELLI. Efficientsynthesis of networks on chip. Proceedings of the21st International Conference onComputer Design,2003:146-150
    [66]K. Srinivasan, K.S. Chatha, G. Konjevod. Linear programming based techniques forsynthesis of network-on-chip architectures. Proceedings IEEE InternationalConference on Computer Design: VLSI in Computers and Processors,2004:422-429
    [67]Hu Jingcao, R. Marculescu. Energy-aware mapping for tile-based NoCarchitectures under performance constraints.Proceedings of the Asia and SouthPacific Design Automation Conference,2003:233-239
    [68]王高才. Mesh网络容错性的概率分析研究.长沙:中南大学博士学位论文,2004
    [69]Zhang Zhen, A. Greiner, M. Benabdenbi. Fully distributed initialization procedurefor a2D-Mesh NoC, including off-line BIST and partial deactivation of faultycomponents. IEEE16th International On-Line Testing Symposium (IOLTS),2010:194–196
    [70]Shubo Qi, Jinwen Li, Zuocheng Xing, et al. A Delay Model of Two-Cycle NoCRouter in2D-Mesh Network. IEEE Computer Society Annual Symposium on VLSI(ISVLSI),2010:316-320
    [71]Jun Ho Bahn, Seung Eun Lee, N.Bagherzadeh. On Design and Analysis of aFeasible Network-on-Chip (NoC) Architecture Fourth International Conference onInformation Technology,2007:1033–1038
    [72]P.M. Yaghini, A. Eghbal, H. Pedram, H.R. Zarandi. Investigation of Transient FaultEffects in an Asynchronous NoC Router.18th Euromicro International Conferenceon Parallel, Distributed and Network-Based Processing (PDP),2010:540-545
    [73]刘恩科等.半导体物理学.北京:国防工业出版社,1984
    [74]http://www.princeton.edu/~lshang/popnet.html
    [75]http://sourceforge.net/projects/noxim/
    [76]Mei Yang, Tao Li, Yingtao Jiang, et al. Fault-tolerant routing schemes inRDT(2,2,1)/α-based interconnection network for networks-on-chip design.8thInternational Symposium on Parallel Architectures,Algorithms and Networks,2005:52-57
    [77]J. Duato. A Theory of Fault-Tolerant Routing in Wormhole Networks. IEEETransactions on Parallel and Distributed Systems,1997,8(8):790-802
    [78]Shu-Yen Lin, Chun-Hsiang Huang, Chih-Hao Chao, et al. Traffic-Balanced RoutingAlgorithm for Irregular Mesh-Based On-Chip Networks. IEEE Transactions onComputers,2008,57(9):1156–1168
    [79]H. Elmiligi, A.A. Morgan, M.W. El-Kharashi, F. Gebali. Performance Analysis ofNetworks-on-Chip Routers.2nd International Design and Test Workshop,2007:232-236
    [80]A.E. Kiasari, D. Rahmati, et al. A Markovian Performance Model forNetworks-on-Chip. Parallel, Distributed and Network-Based Processing,2008:157-164
    [81]A. Merkel, F. Bellosa. Task activity vectors: A new metric for temperature-awarescheduling. Proceedings of the EuroSys2008Conference,2008:1-12
    [82]A. B. Kahng, B. Li, L. S. Peh, K. Samadi. Orion2.0: a fast and accurate noc powerand area model for early-stage design space exploration. Proc Design, Automation&Test in Europe Conference&Exhibition,2009:423-428
    [83]Shashi Kumar, Axel Jantsch, Juha-Pekka Soininen, et al. A network on chipArchitecture and Design Methodology. Proceedings, IEEE Computer SocietyAnnual Symposium on VLSI,2002:117-124
    [84]P. Guerrier, A. Grenier. A Generic Architecture for On-Chip Packet-SwitchedInterconnections. Proc. IEEE Design Automation and Test in Europe (DATE2000),2000:250-256
    [85]S. G. Pestana, E. Rijpkema, A. Radulescu, et al. Cost-performance trade-offs innetworks on chip: a simulation-based approach. Proceedings, Design, Automationand Test in Europe Conference and Exhibition,2004,2(2):764–769
    [86]S. Taktak, E. Encrenaz, J. Desbarbieux. A Tool for Automatic Detection ofDeadlock in Wormhole Networks on Chip. ACM Transactions on DesignAutomation of Electronic Systems,2008,13(1):6:1-22
    [87]M. Moadeli, A. Shahrabi, W. Vanderbauwhede. Analytical modelling ofcommunication in the rectangular mesh NoC. International Conference on Paralleland Distributed Systems,2007,2:1-8
    [88]S. Ziya. On the Relationships Among Traffic Load, Capacity, and Throughput forthe M/M/1/m, M/G/1/m-PS, and M/G/c/c Queues. IEEE Transactions on AutomaticControl,2008,53(11):2696-2701
    [89]Jun Yin, Szu Hui Ng, Kien Ming Ng. A Bayesian metamodeling approach forstochastic simulations. Proceedings of the2010Winter Simulation Conference(WSC),2010:1055-1066
    [90]J. Ye, San-qi Li. Analysis of multi-media traffic queues with finite buffer andoverload control. I. Algorithm. IEEE Proceedings. Tenth Annual Joint Conferenceof the IEEE Computer and Communications Societies. Networking in the90s,1991,3:1464-1474
    [91]P. Gao, S. Wittevrongel, K. Laevens, et al. Distributional little's law for queues withheterogeneous server interruptions. Electronics Letters,2010,46(11):763-764
    [92]Wang Danyao, N.E. Jerger, J.G.Steffan. DART: A programmable architecture forNoC simulation on FPGAs.2011Fifth IEEE/ACM International Symposium onNetworks on Chip (NoCS),2011:145-152
    [93]A. Narasimhan, K. Srinivasan and R. Sridhar. A high-performance router design forvdsm nocs. IEEE International SOC Conference.2005:301-304
    [94]H. Sun, D. Gao, S. Zhang, et al. Design fast round robin scheduler in fpga. IEEE2002International Conference on Communications, Circuits and Systems and WestSino Expositions.2002,2:1257-1261
    [95]E.D. Kusuma, T.S. Widodo. FPGA implementation of pipelined2D-DCT andquantization architecture for JPEG image compression.2010InternationalSymposium in Information Technology (ITSim),2010,1:1-6
    [96]D.Jessintha, C.Reghu, A.V. Raj. Energy efficient, architectural reconfiguring DCTimplementation of JPEG images using vector scaling.2010InternationalConference on Signal and Image Processing (ICSIP),2010:59-62
    [97]Zexin Jiang, Wei Dong, Yindong Ji. A conservative time management model withoptimized degree of parallelism for distributed simulation.2011InternationalSymposium on Performance Evaluation of Computer&TelecommunicationSystems (SPECTS),2011:241–246
    [98]王卫源,戴紫彬,钱育蓉. NiosⅡ多处理器系统方案设计.微计算机信息.2007,20:96-97
    [99]S. Zammattio. SOPC Builder, a Novel Design Methodology for IP Integration.2005International Symposium on System-on-Chip,2005:37
    [100] J. Hu, R. Marculescu. Energy-and performance-aware mapping for regularNoCarchitectures. IEEE Trans. on Computer-Aided Design of Integrated Circuitsand Systems,2005,24(4):551-562
    [101] S. Kumar, A. Jantsch, J.-P. Soininen, et al. A network on chip architecture anddesign methodology. Proceedings. IEEE Computer Society Annual Symposium on,2002:105-112
    [102] Song-Nien Tang, Chien-Ju Lee, Guo-Zua Wu. Soft-IP core design of LVDSreceivers for multichannel ultrasound imaging applications. IEEE17thInternational Symposium on Consumer Electronics (ISCE),2013:233-234

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700