FPGA低功耗设计相关技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
摘要:现场可编程门阵列(Field Programmable Gate Array,FPGA)作为一种可编程逻辑器件,在短短二十多年里已从电子设计的外围器件逐渐演变为数字系统的核心,被广泛地应用在原型验证、计算机硬件、工业控制、通信、汽车电子、航空航天等各个领域。随着集成电路制造技术的不断提高,FPGA器件的速度、规模和复杂程度不断增加,FPGA的设计面临着一系列新的难题,功耗问题就是其中之一。
     本文围绕FPGA的功耗设计问题展开研究,提出了一系列实用有效的低功耗设计技术和方法,主要研究内容涉及FPGA器件低功耗设计和FPGA应用逻辑低功耗设计两个方面,论文主要研究工作及创新性成果如下:
     (1)在深入分析静态随机存取存储器(Static Random Access Memory, SRAM)单元泄漏电流来源的基础上,提出一种适合于FPGA的低功耗SRAM单元设计方法。该方法基于FPGA中SRAM单元在配置后存储值多数为“0”这一特点,综合应用双阈值电压技术和双栅氧化层厚度技术降低SRAM单元存储值为“0”时的泄漏功耗。其优点是在不增加SRAM单元面积和整体延时的情况下,能改善静态噪声容限、降低静态功耗。
     (2)针对当前FPGA中多路选择器设计存在大量闲置晶体管这一现象,提出一种适合于FPGA的低功耗多路选择器设计方法。该方法采用反向体偏置技术对多路选择器中闲置晶体管的泄漏电流进行优化,在不影响电路性能的条件下降低多路选择器的泄漏功耗。
     (3)在分析FPGA不同状态下功耗来源的基础上,结合双电压技术和电源门控技术各自的优点,提出一种低功耗FPGA结构设计方法。仿真结果表明,采用该结构设计FPGA器件能有效的降低FPGA的动态功耗和静态功耗,尤其适合应用于移动、便携式设备。
     (4)在FPGA应用设计方面,针对传统寄存器堆设计方法占用较多布线资源和功耗高等缺点,提出一种基于块RAM的低功耗寄存器堆设计方法。仿真结果表明,与传统设计方法相比,该方法具有降低功耗、节约布线资源和易实现等优点。
     (5)针对FPGA在航空航天等应用领域面临的可靠性和功耗问题,提出一种低功耗并具有容错能力有限状态机设计方法。该方法将状态机映射到FPGA内置块RAM,同时采用两块RAM构成双模冗余结构,通过比较两块RAM输出数据的一致性确定RAM中数据出错的情况,并结合奇偶校验进行检错与纠错。与传统的三模冗余设计方法相比,采用该方法设计的有限状态机具有更低的功耗和更高的可靠性,并能对一位错误实现在线纠错。
Abstract:Field programmable gate array (FPGA),as a programmable logic device,has gradually evolved over the last twenty years from a peripheral component of an electronic design to a core processing element of digital systems,which is widely used in various field of prototype,computer hardware,industrial controlling,communications, automotive electronics,aerospace,etc.With the constant improvement of the integrated circuit manufacturing technology and the growing increase of the speed,scale and complexity of FPGA device,the FPGA design is facing a series of new problems,one of which lies in the problem of power consumption.
     This dissertation carries out a research into the design of FPGA power consumption and then puts forward many practical and effective technologies and methods for low power consumption. The main research work and innovative achievements are listed as follows:
     (1)On the basis of deeply analyzing the source of static random access memory(SRAM) leakage current, a new design method of low power SRAM suitable for PFGA the paper is proposed. According to the characteristic that most SRAM cells is storing "0" when FPGA is configured,the proposed method reduces the leakage power dissipation of SRAM when the SRAM cell stores "0" by using dual threshold voltage technique and dual oxide thickness technique. The method has the advantages of improving the static noise margin of SRAM,together with reducing leakage power dissipation without increasing the circuit delay and area.
     (2)Aiming at the phenomenon of the used multiplexers in FPGA containing many idle transistors,a new design method of multiplexers suitable for FPGA low power the paper is proposed. The proposed method optimizes the leakage power dissipation of idle transistors in multiplexer by using reverse body bias technique and reduces the total leakage power dissipation while maintaining performance.
     (3)Based on the source analysis of FPGA power consumption in different states and combined with advantages of dual-Vdd and power gating technologies to reduce the power consumption of FPGA in different states, a new design method of FPGA lower-power architecture is proposed. The FPGA device designed with this architecture has the advantages of reducing the dynamic power consumption and static power consumption,which is especially suitable for application in mobile and handheld devices.
     (4) In the aspect of FPGA application design,against the shortcomings of occupying more routing resource and high power in traditional register files implement method,a new design method of lower power register files based on block RAM in FPGA is proposed. The simulation results show that compared with the traditional ways the new method has the advantages of reducing power consumption,saving routing resource and being easy to implement.
     (5)Considering the reliability and power consumption problems of FPGA in aviation and spaceflight application field,a new design method of low power and fault-tolerance finite state machine suitable for FPGA is proposed. The method is realized by mapping finite-state machines (FSM) into embedded blocks RAM of FPGA and employing two RAM blocks to compose the duple-redundancy structure to confirm data errors in RAM through comparing consistency of two blocks RAM output data and combining with parity check for error detection and correction. Compared with the traditional triple-redundancy method, the FSM designed with this method has the advantages of lower power,higher reliability,and achieving an error on-line error correction.
引文
[1]杨海钢,孙嘉斌,王慰.FPGA器件设计技术发展综述[J].电子与信息学报,2010,32(03):714-726.
    [2]隋文涛.FPGA布局算法研究:[博士学位论文].北京:清华大学,2011.
    [3]Carter W, Duong K. A user programmable reconfiguration gate array. Proceedings of the IEEE Custom Integrated Circuits Conference[C]. Boston,1986:233-235.
    [4]王建庄.基于FPGA的高速图像处理算法研究及系统实现:[博士学位论文].武汉:华中科技大学,2011.
    [5]Kuon I, Rose J. Measuring the Gap between FPGAs and ASICs. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems[J].2007,26(2): 203-215.
    [6]孔文.新技术助力28纳米FPGA加速蚕食ASSP/ASIC市场[J].集成电路应用,2010,(06):17-18.
    [7]张承义.超深亚微米微处理器漏流功耗的体系结构级优化技术研究:[博士学位论文].长沙:国防科学技术大学,2006.
    [8]Hassan H. Design Methodologies and CAD Tools for Leakage Power Optimization in FPGAs:[PhD dissertation]. Waterloo:University of Waterloo,2008.
    [9]周盛雨.基于FPGA的动态部分重构系统实现:[博士学位论文].北京:中国科学院研究生院,2006.
    [10]张戈.高性能通用处理器核的低功耗技术研究:[博士学位论文].北京:中国科学院研究生院,2006.
    [11]骆祖莹.芯片功耗与工艺参数变化:下一代集成电路设计的两大挑战[J].计算机学报,2007,30(07):1054-1063.
    [12]Roy K, Mukhopadhyay S, Mahmoodi H. Leakage Current Mechanisms and Leakage Reduction Techniques in Deep-Submicrometer CMOS Circuits [J]. proceedings of the IEEE,2003,91(2):305-327.
    [13]Tuan T, Lai B. Leakage power analysis of a 90nm FPGA[C]. Proceedings of the IEEE Custom Integrated Circuits Conference.2003:57-60.
    [14]Shang L, Kaviani A, Balhala K. Dynamic Power Consumption in Vinex-I1 FPGA Family [C].Proceedings of the 2002 ACM/SIGDA tenth international symposium on Field-programmable gate arrays.2002:157-164.
    [15]Hassan H, Mohab Anis. Low power design of nanometer FPGAS [M]. America: Morgan Kaufmann,2009.
    [16]Nafkha A, Carreras C, Leray P. Leakage power consumption in FPGAs:thermal analysis[C].Preceedings of the International Symposium on Wireless Communication Systems, Paris, France,2012:606-610.
    [17]Chow C T. Dynamic voltage scaling for commercial FPGAs [C]. IEEE International Conference on Field-Programmable Technology Technology.2005:173-180.
    [18]Li F, Lin Y, He L. FPGA power reduction using configurable dual-Vdd[C]. Proceedings of the 41st Design Automation Conference.2004:735-740.
    [19]Anderson J H, Najm F N. Switching activity analysis and Pre-layout activity prediction for FPGAs [C].Proceedings of the International Workshop on System-Level Interconnect Prediction, Monterey.2003:15-21.
    [20]Czajkowski T S, Brown S D. Using negative edge triggered FFs to reduce glitching power in FPGA circuits [C].Proceedings of the 44th Annual Conference on Design Automation. San Diego,2007:324-329.
    [21]Lamoureux J, Lemieux G G. Glitch Less:dynamic power minimization in FPGAs through edgealignment and glitch filtering [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems.2008,16(11):1521-1534.
    [22]Dinh Q, Chen D M, Wong M D F. A routing approach to reduce glitches in low power FPGAs [C]. Proceedings of the International Symposium on Physical Des ign Table of Contents. San Diego,2009:99-106.
    [23]黄娟,杨海钢,李威等.可编程逻辑阵列减少毛刺的低功耗布线算法[J].计算机辅助设计与图形学学报,2010,22(10):1664-1670.
    [24]Wilton S J E, Ang S S, Luk W. The Impact of Pipelining on Energy per Operation in Field-Programmable Gate Arra[C]. International Conference on Field-Programmable Logic and its Applications. Antwerp, Belgium, August 2004:719-728.
    [25]Wang Q, Roy S. Power minimization by clock root gating [C]. Proceedings of the 2003 Conference on Asia South Pacific Design Automation.2003:249-254.
    [26]Tuan T, Rahman A. A 90-nm low-power FPGA for battery-powered applications [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2007,26(03):296-300.
    [27]Wang Q, Gupta S, Anderson J. Clock power reduction for Virtex-5 FPGAs [C]. Proceedings of the ACM/SIGDA international symposium on Field programmable gate arrays.2009:13-22.
    [28]Huda S, Mallick M, Anderson J H. Clock gating architectures for FPGA power reduction[C].International Conference on Field Programmable Logic and Applications. Prague,2009:112-118.
    [29]徐勇军,韩银和,骆祖莹.基于遗传算法的最大开启电流估计[J].计算机学报,2004,27(02):186-191.
    [30]徐新民.FPGA资源动态重构及低功耗研究:[博士学位论文].浙江:浙江大学,2007.
    [31]Liu S, Pittman R N. On energy efficiency of reconfigurable systems with run-time partial reconfiguration[C].IEEE International Conference on Application-specific Systems Architectures and Processors. Rennes, France,2010:265-272.
    [32]徐新民,吴晓波,严晓浪.现场可编程门阵列动态重构下的低功耗研究[J].浙江大学学报(工学版),2007,41(03):193-197.
    [33]Singh P, Vishvakarma K S. Device/Circuit/Architectural Techniques for Ultra Low power FPGA Design[J] Journal of Microelectronics and Solid State Electronics, 2013,2(2A):1-15.
    [34]Azizi N. Najm F N. Look-up table leakage reduction for FPGAs[C]. IEEE Custom Integrated Circuits Conference (CICC).2005:186-189.
    [35]杨松,王宏,杨志家.一种新型的低泄漏功耗FPGAs查找表[J].微电子学与计算机,2007,24(03):27-29.
    [36]Nair P S, Koppa S, John E. Topology Selection of FPGA Look-up Tables for Low-Leakage Operation[C]. The 19th European Conference on Circuit Theory and Design. Antalya,2009:73-76.
    [37]Hassan H, Anis M, Elmasry M. Input vector reordering for leakage power reduction in FPGAs [J]. IEEE Transactions Comput Aided Design,2008,27(9):1555-1564.
    [38]Anderson J H, Najm F. A novel low-power FPGA routing switch[C]. Proceedings of the IEEE Custom Integrated Circuits Conference. Orlando, FL,2004:719-722.
    [39]George V, Zhang H, Rabaey J. The Design of a Low Energy FPGA[C].The International Symposium on Low Power Electronics and Design.1999:188-193.
    [40]Lin Y, Li F, He L. Routing Track Duplication with Fine-Grained Power-Gating for FPGA Interconnect Power Reduction[C].Proceedings of the 2005 Asia and South Pacific Design Automation Conference.2005:645-650.
    [41]Srinivasan S, Gayasen A, Narayanan V. Leakage Control in FPGA Routing Fabric[C]. Proceedings of Asia-Pacific Design Automation Conference.2005:651-654.
    [42]Li F, Lin y, He L. Vdd Programmability to Reduce FPGA Interconnect Power [C]. IEEE International Conference on Computer Aided Design.2004:760-765.
    [43]Elakkumanan P, Thondapu C. A Gate Leakage Reduction Strategy for Sub-70nm Memory Circuit[C]. Proceedings of 2004 IEEE Dallas/CAS Workshop. Richardson, TX, USA,2004:145-148.
    [44]Razavipour G, Afzali-Kusha A. Design and Analysis of Two Low-Power SRAM Cell Structures [J]. IEEE Transactions on Very Large Scale Integration Systems,2009, 17(10):1551-1555.
    [45]Powell M, Yang S. Gated-Vdd:A Circuit Technique to Reduce Leakage in Deep-Submicron Cache Memories[C].2000 IEEE International Symposium on Low Power Electronics and Design. Rapallo, Italy,2000:90-95.
    [46]Chiang Y T, Chang Y J. A New SRAM Cell Design for both Power and Performance Efficiency[C].IEEE International Workshop on Memory Technology, Design, and Testing. Hsinchu,2009:13-19.
    [47]Azizi N, Najm F N, Moshovos A. Low-Leakage Asymmetric-Cell SRAM [J]. IEEE Transactions on Very Large Scale Integration Systems,2003,11(04):701-715.
    [48]Amelifar B, Fallah F, Pedram M. Reducing the Sub-threshold and Gate-tunneling Leakage of SRAM Cells using Dual-Vt and Dual-Tox Assignment[C]. Proceedings of Design Automation and Test in Europe. Munich,2006:1-6.
    [49]Han K J, Chan N, Kim S. Flash-based field programmable gate array technology with deep trench isolation[C]. Proceedings of the IEEE Custom Integrated Circuits Conference.2007:89-91.
    [50]Lee S W. A three-terminal carbon nanorelay [J]. Nano Lett,2004,4(10):2027-2030.
    [51]Reimer A, Schulz A, Nebel W. Modeling macromodules for high-level dynamic power estimation of FPGA-based digital designs[C].International Symposium on Low Power Electronics and Design.2006:151-154.
    [52]Anderson H, Najm N. Power estimation techniques in FPGAs [J]. IEEE Transactions on Very Large Scale Integration Systems,2004,12(10):1015-1027.
    [53]Poon K, Yan A, Wilton S. A flexible power model for FPGAs[C]. Proceedings of the ACM International Symposium on Field Programmable Gate Arrays.2002:312-321.
    [54]Salami B, Zamani M S, A Prediction Model For Estimating Leakage Power Consumption of Routing Resources in FPGAs[C]. Asia Symposium on Quality Electronic Design, Malaysia.2011:1016-1026.
    [55]Poon K K W. A detailed power model for field-programmable gate arrays [J]. ACM Transactions on Design Automation of Electronic Systems,2005,10(02):279-302.
    [56]高海霞.基于SRAM技术的现场可编程门阵列器件设计技术研究:[博士学位论文].西安:西安电子科技大学,2005.
    [57]Agarwal A, Kim C H, Mukhopadhyay S. Leakage in Nano-Scale Technologies: Mechanisms, Impact and Design Considerations [C]. Proceedings of the 41st Design Automation Conference. San Diego,2005:6-11.
    [58]Sanyal A, Rastogi A. An Efficient Technique for Leakage Current Estimation in Nanoscaled CMOS Circuits Incorporating Self-Loading Effects[J].IEEE Transactions on Computers,2010,59(7):922-932.
    [59]Ferre A, Figueras J. Characterization of leakage power in CMOS technologies [C]. Proceedings of IEEE International Conference on Electronics, Circuits and Systems. 1998:185-188.
    [60]Christian Piguet.低功耗CMOS电路设计[M].北京:科学出版社,2011.
    [61]Mukhopadhyay S, Neau C. Gate leakage reduction for scaled devices using transistor stacking[J]. IEEE Transactions on Very Large Scale Integration Systems,2003,11(4): 716-730.
    [62]郑晓虎,黄安平.稀土元素掺杂的Hf基栅介质材料研究进展[J].物理学报,2011,60(01):1-9.
    [63]周宏伟.微处理器中Cache漏流功耗的体系结构级优化技术研究:[博士学位论文].长沙:国防科学技术大学,2007.
    [64]Powell M, Yang S H. Gated-Vdd:a circuit technique to reduce leakage in deep-submicron cache memories[C].Proceedings of the 2000 International Symposium on Low Power Electronics and Design.2000:90-95.
    [65]Kim C H, Roy K. Dynamic VTH Scaling Scheme for Active Leakage Power Reduction[C]. Proceedings of the conference on Design, automation and test in Europe.2002:163-167.
    [66]Liu Z, Kursun V. Characterization of a Novel Nine-Transistor SRAM Cell [J]. IEEE Transactions on Very Large Scale Integration Systems,2008,16(4):488-492.
    [67]杨松,王宏,杨志家.45nm体硅工艺下使用双-栅氧化层厚度降低SRAM的泄漏功耗[J].半导体学报,2007,28(05):745-749.
    [68]Amelifard B, Fallah F, Low-leakage SRAM design with dual-Vt transistors[C]. Proceedings of International Symposium on Quality of Electronic Design. Washington, DC, USA,2006:729-734.
    [69]Srinivasan S, Gay sen A. Improving soft-error tolerance of FPGA configuration bits [C].Proceedings of the 2004 IEEE International conference on Computer-aided design. Washington, DC, USA,2004:107-110.
    [70]Kursun V, Friedman E G.多电压CMOS电路设计[M].北京:机械工业出版社,2006.
    [71]Zhang F, Zhang G. An Effective Approach for Subtreshold and Gate Leakage Power Estimation Of SRAM[C]. IEEE International Symposium on Integrated Circuits (ISIC07). Singapore,2007:365-368.
    [72]Karimi G, Alimoradi A. MTSCStack:An Effective Technique to Decrease Leakage Power in VLSI Circuits[C]. IEEE International Conference on Electronic Devices, Systems & Applications. Kuala Lumpur.2010:116-120.
    [73]郑丹丹.嵌入式CPU的纳米尺度SRAM设计研究:[博士学位论文].浙江:浙江大学信息与电子工程学系,2009.
    [74]Seevinck E, List F J and Lohstroh J.Static-noise margin analysis of MOS SRAM Ceells [J]. IEEE Journal of Solid-State Circuits,1987,22(5):748-754.
    [75]Bhavnagarwala A J, Xinghai T.The impact of intrinsic device fluctuations on cmos sram cell stability [J]. IEEE Journal of Solid-State Circuits,2001,36(04):658-665.
    [76]Austin B L, Bowman K A.A low power transregional MOSFET model for complete power-delay analysis of CMOS gigascale integration [C]. Proceedings of the 11th Annual IEEE International ASIC Conference.1998:125-129.
    [77]Bowman K A, Austin B L, Eble J c. A physical alpha-power lay MOSFET model[C]. Proceedings of the 1999 international symposium on Low power electronics and design.1999:218-222.
    [78]Lohstroh J, Seevinck E. Worst-case static noise margin criteria for logic circuits and their mathematical equivalence[J].IEEE Journal of Solid-State Circuits 1983,18(6):803-807.
    [79]NDas N, Rahaman N. BIST to Diagnosis Delay Fault in the LUT of Cluster Based FPGA[J]. International Journal of Information and Electronics Engineering,2012, 2(02):269-273.
    [80]Jevtic R, Carreras C. Power Measurement Methodology for FPGA Devices [J].IEEE Transactions on Instrumentation and Measurement,2011,60(1):237-247.
    [81]Rahman A, Polavarapuv V, Evaluation of low-leakage design techniques for field-programmable gate arrays [C].Proceedings of the 2004 ACM/SIGDA 12th international symposium on Field programmable gate arrays.2004:23-30.
    [82]Hassan H, Elmasry M. Total power modeling in FPGAs under spatial correlation [J]. IEEE Transactions on Very Large Scale Integration Systems,2009,17(04):578-582.
    [83]吴福炜,甘骏人.低功耗电路阈值电压的调节[J].功能材料与器件学报,2002,8(04):407-410.
    [84]Gary K.Yeap, Practical low power digital VLSI design[M]. Kluwar Academic Publishers,1998.
    [85]Razavi B, Design of analog CMOS integrated circuits [M]. Boston:Mc Graw-Hill, 2001.23-24.
    [86]Yongho Lee, Taewhan Kim. A fine-grained technique of NBTI-aware voltage scaling and body biasing for standard cell based designs [C].16th Asia and South Pacific Design Automation Conference.2011:603-608.
    [87]Keshavarzi A, Ma S. Effectiveness of Reverse Body Bias for Leakage Control in Scaled Dual Vt CMOS ICs[C]. Proceedings of the International Symposium on Low Power Electronics and Design. Huntington Beach,2001:207-212.
    [88]Lin Y S, Wu C C. Leakage scaling in deep submicron CMOS for SoC [J]. IEEE Transactions on electron devices,2002,49(6):1034-1041.
    [89]Salami B, Zamani M S.VMAP:A Variation Map-Aware Placement Algorithm for Leakage Power Reduction in FPGAs[C].14th Euromicro Conference on Digital System Design. Finland,2011:81-87.
    [90]Hasan M, Kureshi A K. Leakage reduction in FPGA routing multiplexers [C].IEEE International Symposium on Circuits and Systems, Taipei,2009:1129-1132.
    [91]Li N S, Huang J D, Huang H J. Low power multiplexer tree design using dynamic propagation path control [C]. IEEE Asia Pacific Conference on Circuits and Systems. 2008:838-841.
    [92]Leming G V. Low-power FPGA routing switches using adaptive body biasing technique[C].IEEE International Midwest Symposium on Circuits and Systems, 2009:447-450.
    [93]Hamamoto K, Fuketa H. Experimental study on body-biasing layout style-negligible area overhead enables sufficient speed controllability[C].Proceedings of the 18th ACM Great Lakes symposium on VLSI.2008:387-390.
    [94]Takashi Kawanami, Masakazu Hioki. Optimal Set of Body Bias Voltages for an FPGA with Field-Programmable Vth Components[C].Proceedings of the IEEE International Conference on Field Programmable Technology.2006:329-332.
    [95]Salami B, Zamani M S.VMAP:A Variation Map-Aware Placement Algorithm for Leakage Power Reduction in FPGAs[C].14th Euromicro Conference on Digital System Design. Finland,2011:81-87.
    [96]Anderson J H, Najm F N. Low-Power Programmable FPGA Routing Circuitry [J].IEEE Transactions on Very Large Scale Integration Systems,2009,17(08): 1048-1060.
    [97]Usami K, Horowitz M. Clustered voltage scaling technique for low power[C]. Proceedings of International Symposium on Low Power Design.1995:3-8.
    [98]Li F, Lin Y, He L. Low-power FPGA using Pre-Defined Dual-Vdd/Dual-Vt Fabrics[C].Proceedings of ACM International Symposium on Field-programmable gate arrays.2004:42-50.
    [99]Gayasen A, Lee K, Vijaykrishnan N. A Dual-Vdd Low Power FPGA Architecture[C]. Proceedings of the International Conference on Field Programmable Logic and its applications.2004:51-58.
    [100]Yan Lin, Lei He. Statistical Dual-Vdd Assignment for FPGA Interconnect Power Reduction[C]. Design Automation Test in Europe Conference Exhibition.Nice. 2007:1-6.
    [101]Chen D, Cong J, Dong C. Technology Mapping and Clustering for FPGA Architectures With Dual Supply Voltages [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2010,29(11):1709-1722.
    [102]Royannez P, Mair H, Dahan F.90 nm low-leakage SoC design techniques for wireless applications [C].Proceedings of the IEEE International Solid-State Circuits Conference.2005,138-139.
    [103]Hoo C H, Ha Y. A directional coarse-grained power gated FPGA switch box and power gating aware routing algorithm [C].Preceedings of the International Conference on Field Programmable Logic and Applications,2013:1-4.
    [104]Bsoul A, Wilton S. An fpga architecture supporting dynamically controlled power gating[C].International Conference on Field-Programmable Technology. Beijing, 2010:1-8.
    [105]Kumar A, Anis M. Dual-threshold CAD framework for subthreshold leakage power aware FPGAs [J].IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2007,26(01):53-66.
    [106]陈利光.适合于硬件进化的FPGA平台设计实现:[博士学位论文].上海:复旦大学,2009.
    [107]梁绍池,代莉,谈珺.FPGA通用布线开关盒模型[J].复旦学报(自然科学版),2010,49(06):751-756.
    [108]Hoo C H, Ha Y. A directional coarse-grained power gated FPGA switch box and power gating aware routing algorithm[C].Preceedings of the International Conference on Field Programmable Logic and Applications,2013:1-4.
    [109]Bsoul A A M, Wilton S J E.An FPGA with power-gated switch blocks [C].2012 International Conference on Field-Programmable Technology.2012:87-94.
    [110]Rahman A, Das S. Determination of Power Gating Granularity for FPGA Fabric [C]. IEEE Custom Integrated Circuits Conference. San Jose, CA,2006:9-12.
    [111]Kureshi AK, Hasan M. Leakage power estimation and minimization in configurable logic block of FPGA[C]. Proceedings of the International Conference on Computer and Communication Engineering. Kuala Lumpur,2008:270-274.
    [112]吴强,张逸中.FPGA位流解析及电路还原方法[J].计算机工程,2013,39(05):714-726.
    [113]Bharadwaj R P, Konar R, Bhatia D. FPGA architecture for standby power management[C].2005 IEEE International Conference on Field-Programmable Technology. Singapore,2005:181-188.
    [114]孙含欣,佟冬,袁鹏等.基于簇的寄存器堆功耗管理方法[J].电子学报,2008,36(02):278-284.
    [115]Balasubramonian R, Dwarkadas S, et al. Reducing the complexity of the register file in dynamic superscalar processors[C]. Proceedings of the 34th annual ACM/IEEE international symposium on Microarchitecture. USA:IEEE Computer Society, 2001:237-248.
    [116]Rakesh Nalluri, Rohan Garg, et al.Customization of Register File Banking Architecture for Low Power[C]. VLSI Design.2007:239-244.
    [117]Yang C, Orailoglu A. Power efficient instruction delivery through trace reuse[C]. Proceedings of the 15th international conference on Parallel architectures and compilation techniques IEEE Computer Society.2006:192-201.
    [118]Ayala J L, Vallejo M L. Energy aware register file implementation through instruction predecode[C].IEEE International Conference on Application-Specific Systems. Architectures and Processors. IEEE Computer Society,2003:86-96.
    [119]Khasawneh S T, Ghose K. An adaptive technique for reducing leakage and dynamic power in register files and reorderbuffers [C]. Proceedings of the 15th international conference on Integrated Circuit and System Design:power and Timing Modeling, Optimization and Simulation. Leuven,2005:498-507.
    [120]邬贵明.FPGA矩阵计算并行算法与结构:[博士学位论文].长沙:国防科学技术大学,2011.
    [121]Wilton S. Architectures and algorithms for field-programmable gate arrays with embedded memories:[PhD dissertation]. Toronto:University of Toronto, Department of Electrical and Computer Engineering,1997.
    [122]万娟,武杰,孔阳,谢明璞.低功耗FPGA电子系统优化方法[J].电子技术应用,2009,(10):66-69.
    [123]张德学,郭立,傅忠谦.面积优化与低功耗设计的JavaCard处理器[J].中国科学技术大学学报,2008,38(03):288-292.
    [124]武文权.可重构并行小卫星星载计算机体系结构设计:[博士学位论文].上海:中国科学院研究生院(上海微系统与信息技术研究所),2004.
    [125]Nainesh A, Nikitas J.FSMD Partitioning for Low Power Using Simulated Annealing[C].IEEE International Symposium on Circuits and Systems.2008: 1244-1247.
    [126]Syam Sundar Reddy E, Chandrasekhar V, Sashikanth M. Cluster-based detection of SEU-caused errors in LUTs of SRAM-based FPGAs[C].Proceedings of the 2005 Asia and South Pacific Design Automation Conference.2005:1200-1203.
    [127]Bolchini C, Quarta D. SEU mitigation for sram-based fpgas through dynamic partial reconfiguration[C]. Proceedings of the 17th great lakes symposium on Great lakes symposium on VLSI. Stresa-Lago Maggiore, Italy,2007:55-60.
    [128]王仲生.智能容错技术及应用[M].北京:国防工业出版社,2002.
    [129]马寅,安军社,王连国.基于Scrubbing的空间SRAM型FPGA抗单粒子翻转系统设计[J].空间科学学报,2012,32(02):270-276.
    [130]孙兆伟,刘源,徐国栋等.基于FPGA内置RAM的抗辐射有限状态机设计[J].航空学报,2010,31(05):990-995.
    [131]Sterpone L. Analysis of the Robustness of the TMR Architecture in SRAM-Based FPGAs [J]. IEEE Transaction on Nuclear Science,2005,52(5):1545-1549.
    [132]Frigerio L, SaliceF. Ram-based fault tolerant state machines for FPGA[C]. Proceedings of IEEE Design and Fault Tolerant Symposium. Rome, Italy,2007: 312-320.
    [133]Maestro J A, Reviriego P. Reliability of Single-Error Correction Protected Memories [J].IEEE Transactions on Reliability,2009,58(1):193-201.
    [134]Argyrides C, Vargas F. Embedding Current Monitoring in H-Tree RAM Architecture for Multiple SEU Tolerance and Reliability Improvement[C]. Proceedings of the 200814th IEEE International On-Line Testing Symposium. Washington, DC, USA 2008:155-160.
    [135]Reviriego P, Maestro J A. Reliability Analysis of Memories Suffering Multiple Bit Upsets [J]. IEEE Trans, on Device and Materials Reliability,2007,7(4):592-601.
    [136]徐拾义.可信计算系统设计和分析[M].北京:清华大学出版社,2006.
    [137]Asadi G, Tahoori M B. Soft Error Rate Estimation and Mitigation for SRAM-Based FPGAs[C]. International Symposium on Field Programmable Gate Arrays. ACM New York, NY, USA 2005:149-160.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700