面向SRAM型FPGA软错误的可靠性评估与容错算法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
计算可靠性一直以来都是集成电路设计和应用领域所关注的热点问题之一。随着集成电路制造工艺的不断进步和电路集成度的持续增加,由高能粒子辐射和噪声干扰造成的软错误问题也正在日益拓展的FPGA应用领域中逐步显现出来。增强FPGA芯片及系统容软错误的能力,提高其系统可靠性已经成为FPGA的设计领域面对的重要技术问题。
     软错误是诸如静态存储单元的存储状态随机发生改变的一种现象。针对软错误效应的可靠性评估与容错防护设计是高可靠FPGA设计的关键技术。传统的针对FPGA的可靠性评估往往需要在流片后利用加速的高能粒子照射获得,但这种方法无益于设计阶段尽早地开展面向软错误的可靠性设计需求。同时,针对当前设计期间FPGA的软错误评估方法缺乏结构完整性以及统一量化的评估指标等问题,本文根据软错误的形成机理,面向FPGA不同结构元素的微结构对软错误进行行为模式的建模,并提出了一个统一的软错误敏感度评估指标和方法,为量化分析FPGA软错误问题提供了新的依据;在此基础上,本文构建了一个面向FPGA配置字软错误的可靠性评估流程和评估工具,有效拓展了设计期间对FPGA软错误效应的分析能力。实践表明,该工具可以帮助识别FPGA中对软错误敏感的结构元素,为容软错误的可靠性设计和开发提供合理的指导和帮助。
     在上述工具的基础上,本文进一步研究了面向FPGA配置字软错误的容错缓解技术。鉴于目标应用的特点,本文旨在探求以较低容错代价获得提升FPGA可靠性的方法。根据以上目标,本文深入分析了软错误在FPGA电路中产生、传播和显现的过程;并从FPGA的微结构和固有冗余出发,首次提出了一种面向布线资源配置字软错误和一种面向逻辑资源配置字软错误的原地容错缓解算法。不同于其它容错方法所带来的巨大面积、功耗和性能的开销,本文提出的这两种算法都基于原地重配置的思想,不需要改动既有的FPGA布局布线结果,从而具有最小的面积、性能及功耗损失。实验表明,本文提出的方法能够有效降低配置字软错误引发的FPGA功能失效概率,并与其它方法具有良好的兼容性,能够有效地确保FPGA开发周期的收敛。
     最后,本文对三模冗余方法的实际应用也进行了深入的研究,结合敏感度指标并利用组合概率首次对三模冗余结构的容错性能进行了理论分析,为容错系统的合理设计提供了理论的参考。同时在所提出的统一化软错误评估指标和方法的基础上,结合多种容错方法形成了一套面向FPGA软错误的综合评估和优化平台,为FPGA软错误问题的缓解提供了自动化的优化工具,从而有效解决了FPGA软错误的评估和优化问题。
     软错误的评估和容错设计是学术界和工业界关注的焦点。本文提出的软错误可靠性评估方法和容软错误缓解技术,可以有效地帮助FPGA设计和开发人员提升FPGA应用的容软错误能力,具有良好的理论意义和实际应用价值。
Computing reliability has been one of the key problems for VLSI designs andapplications. With the continuous advancing in VLSI manufacturing technology andever increasing integrity in VLSI designs, the soft error issue due to radiation fromhigh energy particles and circuit internal noise begins to emerge in the FPGA-basedapplications, which can cause significant reliability degradation. Aiming for morereliable computing, enhancing the robustness of FPGA devices and systems withrespect to the soft error problem is already a challenge for both the FPGA designersand developers.
     Soft error is known as the phenomena of a random memory status change in astatic memory cell. Reliability evaluation and fault mitigation for soft errors isgenerally acknowledged as two key problems in FPGA designs. However, traditionalevaluation of FPGA reliability is based on field testing on fabricated devices usingaccelerated particles, which is already too late to change the design if the reliabilityrequirements are not met. At the same time, current method for design time reliabilityevaluation does not cover all structures in FPGA and lacks of a unified metric for aquantitative evaluation. To this problem, after detailed studies on the mechanism ofsoft errors and modeling of their behaviors from the perspective of FPGA micro-architectures in chapter III, this dissertation first proposes a unified metric ofcriticality to evaluate the sensitivity of soft errors towards failures. Then, an exclusiveevaluating framework for FPGA soft errors is presented, which can effectivelyanalyze the soft error effect as early as possible during the design phase. Theframework has demonstrated its practical use, such as identifying sensitive circuitelements, and providing insightful guidance to FPGA designs and applications againstsoft errors.
     Based on the presented framework above, techniques for soft error mitigation onFPGA devices are further investigated in this dissertation. Targeting on mitigation with reasonable overhead on circuit elements that cause higher reliability degradation,this dissertation commences from the studies on soft error occurrence, propagationand manifesting. Motivated from the micro-architecture and inherent redundancy inFPGA, this dissertation for the first time proposes two mitigating algorithms targetingon soft errors in routing and logic resources, respectively. Different from traditionalmitigating techniques which involve higher overhead in area, power and performance,the proposed methods apply in-place reassignment techniques, preserve detailedFPGA placement and routing, and thus incur minimum overhead. The experimentresults have demonstrated significant reductions on soft error induced circuit failuresrates. Our methods are highly compatible to other mitigating methods, and help toguarantee the tight design closure in FPGA design.
     In addition, the widely used Triple Modular Redundancy (TMR) technique onsoft error mitigation is also studied in this dissertation. To estimate the reliability of aTMR system, this dissertation applies combinational probabilistic analysis with themetric of criticality, and for the first time provides TMR reliability estimation bytaking the inherent circuit logic masking capability into account. This study will beuseful towards a moderate FPGA design against the soft error issue. At the same time,this dissertation integrates the unified evaluating metric and mitigating methods into acomprehensive and automatic optimization framework, which greatly helps to the softerror evaluation and mitigation problem in FPGA.
     The evaluation and mitigation on soft errors in FPGA-based systems is becominga concerning issue for both academia and industry. The proposed soft error evaluationframework and mitigating techniques have demonstrated their effectiveness, andprovided theoretical significance and practical applicability to the critical reliabilityissue in FPGAs.
引文
[1] Mukherjee S. Architecture Design for Soft Errors[M]. Morgan Kaufmann,2008.
    [2] Ziegler J F, Lanford W A. Effect of Cosmic Rays on Computer Memories[J].Science,1979,206(4420):776–788.
    [3] Binder D, Smith E C, Holman A B. Satellite Anomalies from Galactic CosmicRays[J]. IEEE Transactions on Nuclear Science,1975,22(6):2675–2680.
    [4] McNulty P J, Farrell G E, Wyatt R C, et al. Upset Phenomena Induced byEnergetic Protons and Electrons[J]. IEEE Transactions on Nuclear Science,1980,27(6):1516–1522.
    [5]王长河.单粒子效应对卫星空间运行可靠性影响[J].半导体情报,1998,35(1):1–8.
    [6] Ziegler J F, Curtis H W, Muhlfeld H P, et al. IBM experiments in soft fails incomputer electronics (1978-1994)[J]. IBM Journal of Research andDevelopment,1996,40(1):3–18.
    [7] Ball R. Xilinx attacks Actel stance over soft error rates in ICs rate[J]. ElectronicsWeekly,2003.
    [8] Baumann R. Soft Errors in Commercial Semiconductor Technology: Overviewand Scaling Trends[C]. IEEE Reliability Physics Tutorial Notes, ReliabilityFundamentals.2002:121.
    [9] Ziegler J F, Puchner H. SER--history, trends and challenges: a guide fordesigning with memory ICs[M]. Cypress,2004.
    [10] Michalak S E, Harris K W, Hengartner N W, et al. Predicting the number of fatalsoft errors in Los Alamos national laboratory’s ASC Q supercomputer[J]. IEEETransactions on Device and Materials Reliability,2005,5(3):329–335.
    [11] Lin C, Wen S, Chen L. Intersil/Cisco SEU case study[C]. Second AnnualIEEE-SCV Soft Error Rate (SER) Workshop.2010.
    [12] May T C, Woods M H. Alpha-particle-induced soft errors in dynamicmemories[J]. IEEE Transactions on Electron Devices,1979,26(1):2–9.
    [13] Borkar S. Designing reliable systems from unreliable components: thechallenges of transistor variability and degradation[J]. IEEE Micro,2005,25(6):10–16.
    [14] Hazucha P, Karnik T, Maiz J, et al. Neutron soft error rate measurements in a90-nm CMOS process and scaling trends in SRAM from0.25-μm to90-nmgeneration[C]. Electron Devices Meeting, Technical Digest. IEEE International.IEEE,2003:21.5.1–21.5.4.
    [15]7Series FPGAs Overview[J]. Xilinx, Inc.,2011.
    [16] Asadi G, Tahoori M B. Soft error rate estimation and mitigation forSRAM-based FPGAs[C]. Proceedings of ACM/SIGDA13th InternationalSymposium on Field-Programmable Gate Arrays. ACM,2005:149–160.
    [17] Asadi H, Tahoori M B, Mullins B, et al. Soft Error Susceptibility Analysis ofSRAM-Based FPGAs in High-Performance Information Systems[J]. IEEETransactions on Nuclear Science,2007,54(6):2714–2726.
    [18] Sterpone L, Violante M. A new reliability-oriented place and route algorithm forSRAM-based FPGAs[J]. IEEE Transactions on Computers,2006,55(6):732–744.
    [19] Xilinx Inc. Virtex-6Family Overview[J].2010.
    [20]龚锐.多核微处理器容软错误设计关键技术研究[D].长沙:国防科学技术大学,2008.
    [21]徐建军.面向寄存器软错误的容错编译技术研究[D].长沙:国防科学技术大学,2010.
    [22] Dupont E, Nicolaidis M, Rohr P. Embedded robustness IPs fortransient-error-free ICs[J]. IEEE Design&Test of Computers,2002,19(3):54–68.
    [23]陈盘训.半导体器件和集成电路的辐射效应[M].北京:国防工业出版社,2005.
    [24]微电子器件应用可靠性技术[M].电子工业出版社,1996.
    [25] Johnson R T, Thome F V, Craft C M. A Survey of Aging of Electronics withApplication to Nuclear Power Plant Instrumentation[J]. IEEE Transactions onNuclear Science,1983,30(6):4358–4362.
    [26] Baumann R, Hossain T, Smith E, et al. Boron as a primary source of radiation inhigh density DRAMs[C]. Symposium on VLSI Technology, Digest of TechnicalPapers. IEEE,1995:81–82.
    [27] Srour J R, Long D M. Radiation effects on and dose enhancement of electronicmaterials[M]. Noyes Publications, NJ,1984.
    [28] Karnik T, Hazucha P. Characterization of soft errors caused by single eventupsets in CMOS processes[J]. IEEE Transactions on Dependable and SecureComputing,2004,1(2):128–143.
    [29]绳伟光.数字集成电路软错误敏感性分析与可靠性优化技术研究[D].哈尔滨:哈尔滨工业大学,2009.
    [30] Hazucha P, Svensson C. Impact of CMOS technology scaling on theatmospheric neutron soft error rate[J]. IEEE Transactions on Nuclear Science,2000,47(6):2586–2594.
    [31] Titus J L, Wheatley C F. Experimental studies of single-event gate rupture andburnout in vertical power MOSFETs[J]. IEEE Transactions on Nuclear Science,1996,43(2):533–545.
    [32] Oberg D L, Wert J L, Normand E, et al. First observations of power MOSFETburnout with high energy neutrons[J]. IEEE Transactions on Nuclear Science,1996,43(6):2913–2920.
    [33] Kaschmitter J L, Shaeffer D L, Colella N J, et al. Operation of commercialR3000processors in the Low Earth Orbit (LEO) space environment[J]. IEEETransactions on Nuclear Science,1991,38(6):1415–1420.
    [34] Koga R, Pinkerton S D, Lie T J, et al. Single-word multiple-bit upsets in staticrandom access devices[J]. IEEE Transactions on Nuclear Science,1993,40(6):1941–1946.
    [35] George N, Elks C R, Johnson B W, et al. Transient fault models and AVFestimation revisited[C]. Proceedings of IEEE/IFIP International Conference onDependable Systems and Networks. Chicago, IL, USA:2010:477–486.
    [36] Johnson E, Caffrey M, Graham P, et al. Accelerator validation of an FPGA SEUsimulator[J]. IEEE Transactions on Nuclear Science,2003,50(6):2147–2157.
    [37] Bellato M, Bernardi P, Bortolato D, et al. Evaluating the Effects of SEUsAffecting the Configuration Memory of an SRAM-Based FPGA[C].Proceedings of the conference on Design, automation and test in Europe-Volume1. IEEE Computer Society,2004,1.
    [38] Héron O, Arnaout T, Wunderlich H. On the reliability evaluation ofSRAM-based FPGA designs[C]. Proceedings of International Conference onField Programmable Logic and Applications.2005.
    [39] Ostler P S, Caffrey M P, Gibelyou D S, et al. SRAM FPGA Reliability Analysisfor Harsh Radiation Environments[J]. IEEE Transactions on Nuclear Science,2009,56(6):3519–3526.
    [40] Rebaudengo M, Reorda M S, Violante M. Simulation-based analysis of SEUeffects on SRAM-based FPGAs[C]. Proceedings of12th InternationalConference on Field-Programmable Logic and Applications.2002:607–615.
    [41] Graham P, Caffrey M, Zimmerman J, et al. Consequences and Categories ofSRAM FPGA Configuration SEUs[C]. Proceedings of the Military andAerospace Programmable Logic Devices International Conference.2003.
    [42] Krishnaswamy S, Plaza S M, Markov I L, et al. Enhancing design robustnesswith reliability-aware resynthesis and logic simulation[C]. Proceedings of theIEEE/ACM international conference on Computer-aided design. Piscataway, NJ,USA: IEEE Press,2007:149–154.
    [43] Pellegrini A, Constantinides K, Dan Zhang, et al. CrashTest: A fast high-fidelityFPGA-based resiliency analysis framework[C]. Proceedings of IEEEInternational Conference on Computer Design.2008:363–370.
    [44] Quinn H, Graham P, Morgan K, et al. An Introduction to Radiation-InducedFailure Modes and Related Mitigation Methods for Xilinx SRAM FPGAs[C].Proceedings of The Engineering of Reconfigurable Systems and Algorithms. LasVegas, Nevada, USA:2008:139–145.
    [45] Golshan S, Bozorgzadeh E. Single-event-upset (SEU) awareness in FPGArouting[C]. Proceedings of the44th annual Design Automation Conference. NewYork, NY, USA: ACM,2007:330–333.
    [46] Jose M, Hu Y, Majumdar R, et al. Rewiring for robustness[C]. Proceedings ofthe47th Design Automation Conference. New York, NY, USA: ACM,2010:469–474.
    [47] Asadi H, Tahoori M B. Analytical techniques for soft error rate modeling andmitigation of FPGA-based designs[J]. IEEE Transactions on Very Large ScaleIntegration (VLSI) Systems,2007,15(12):1320–1331.
    [48] Luckenbill S, Lee J-Y, Hu Y, et al. RALF: Reliability analysis for logic faults: anexact algorithm and its applications[C]. Proceedings of the Conference onDesign, Automation and Test in Europe.3001Leuven, Belgium, Belgium:European Design and Automation Association,2010:783–788.
    [49] Single Event Effects (SEE) When Reliability is Vital[EB/OL]..http://www.actel.com/products/solutions/ser/default.aspx.
    [50] Weaver H T, Axness C L, McBrayer J D, et al. An SEU Tolerant Memory CellDerived from Fundamental Studies of SEU Mechanisms in SRAM[J]. IEEETransactions on Nuclear Science,1987,34(6):1281–1286.
    [51] Fujie Wong, Yajun Ha. A low overhead fault tolerant FPGA with new connectionbox[C]. Proceedings of International Conference on Field Programmable Logicand Applications.2008:643–646.
    [52] Zarandi H R, Miremadi S G, Pradhan D K, et al. Soft Error Mitigation in SwitchModules of SRAM-based FPGAs[C]. Proceedings of IEEE InternationalSymposium on Circuits and Systems. New Orleans, LA:2007:141–144.
    [53] Ebrahimi H, Saheb Zamani M, Zarandi H R. Mitigating soft errors inSRAM-based FPGAs by decoding configuration bits in switch boxes[J].Microelectronics Journal,2011,42(1):12–20.
    [54] Reddy E S S, Chandrasekhar V, M S, et al. A Novel CLB Architecture to Detectand Correct SEU in LUTs of SRAM-based FPGAs[C]. Proceedings of IEEEInternational Conference on Field-Programmable Technology. Brisbane,Australia:2004:121–128.
    [55] Rohani A, Zarandi H R, Zandrahimi M. New Switch Box Architecture for SEUDetection in SRAM-Based FPGAs[C]. Proceedings of2nd InternationalConference on Computer Science and its Applications. IEEE,2009:1–6.
    [56] Gaisler J. A portable and fault-tolerant microprocessor based on the SPARC v8architecture[C]. Proceedings of International Conference on DependableSystems and Networks. IEEE,2002:409–415.
    [57] Xilinx TMRTool Product Brief[J]. Xilinx, Inc.,2009.
    [58] Rollins N, Wirthlin M, Graham P, et al. Evaluating TMR Techniques in thePresence of Single Event Upsets[C]. Proceedings of the6th Annual InternationalConference on Military and Aerospace Programmable Logic Devices.2003.
    [59] Morgan K S, McMurtrey D L, Pratt B H, et al. A Comparison of TMR WithAlternative Fault-Tolerant Design Techniques for FPGAs[J]. IEEE Transactionson Nuclear Science,2007,54(6):2065–2072.
    [60] Golshan S, Bozorgzadeh E. SEU-aware resource binding for modularredundancy based designs on FPGAs[C]. Proceedings of the Conference onDesign, Automation and Test in Europe.2009:1124–1129.
    [61] Golshan S, Kooti H, Bozorgzadeh E. SEU-aware high-level data path synthesisand layout generation on SRAM-based FPGAs[J]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2011,30(6):829–840.
    [62] Correcting Single-Event Upsets Through Virtex Partial Configuration[J]. Xilinx,Inc.,2000.
    [63] Enhancing Robust SEU Mitigation with28-nm FPGAs[J]. Altera Inc.,2010.
    [64] Heiner J, Sellers B, Wirthlin M, et al. FPGA partial reconfiguration viaconfiguration scrubbing[C]. Proceedings of International Conference on FieldProgrammable Logic and Applications. IEEE,2009:99–104.
    [65] Berg M, Poivey C, Petrick D, et al. Effectiveness of Internal Versus ExternalSEU Scrubbing Mitigation Strategies in a Xilinx FPGA: Design, Test, andAnalysis[J]. IEEE Transactions on Nuclear Science,2008,55(4):2259–2266.
    [66] Azambuja J R, Sousa F, Rosa L, et al.#Evaluating large grain TMR andselective partial reconfiguration for soft error mitigation in SRAM-basedFPGAs[C]. Proceedings of15th IEEE International On-Line Testing Symposium.IEEE,2009:101–106.
    [67] Heiner J, Collins N, Wirthlin M. Fault Tolerant ICAP Controller forHigh-Reliable Internal Scrubbing[C]. Proceedings of2008IEEE AerospaceConference. IEEE,2008:1–10.
    [68] Iturbe X, Azkarate M, Martinez I, et al. A novel SEU, MBU and SHE handlingstrategy for Xilinx Virtex-4FPGAs[C]. Proceedings of International Conferenceon Field Programmable Logic and Applications. IEEE,2009:569–573.
    [69] Lesea A, Castellani-Coulie K, Waysand G, et al. Qualification methodology forsub-micron ICs at the low noise underground laboratory of Rustrel[J]. IEEETransactions on Nuclear Science,2008,55(4):2148–2153.
    [70] Hu Y, Feng Z, He L, et al. Robust FPGA resynthesis based on fault-tolerantboolean matching[C]. Proceedings of the2008IEEE/ACM InternationalConference on Computer-Aided Design. Piscataway, NJ, USA: IEEE Press,2008:706–713.
    [71] Feng Z, Hu Y, He L, et al. IPR: in-place reconfiguration for FPGA faulttolerance[C]. Proceedings of the International Conference on Computer-AidedDesign. New York, NY, USA: ACM,2009:105–108.
    [72] Lee J-Y, Feng Z, He L. In-place decomposition for robustness in FPGA[C].Proceedings of the International Conference on Computer-Aided Design. NewYork, NY, USA: ACM,2010:143–148.
    [73] Berkeley. ABC: A System for Sequential Synthesis and Verification[EB/OL].http://www.eecs.berkeley.edu/~alanmi/abc/.
    [74] Betz V, Rose J, Marquardt A. Architecture and CAD for Deep-SubmicronFPGAs[M]. Springer,1999.
    [75] Quinn H, Graham P, Krone J, et al. Radiation-induced multi-bit upsets inSRAM-based FPGAs[J]. IEEE Transactions on Nuclear Science,2005,52(6):2455–2461.
    [76] Ken Chapman. SEU Strategies for Virtex-5Devices[J]. Xilinx, Inc.,2010.
    [77] Kuon I, Tessier R, Rose J. FPGA Architecture: Survey and Challenges[J].Foundations and Trends in Electronic Design Automation,2008,2:135–253.
    [78] Greene J, Kaptanoglu S, Feng W, et al. A65nm flash-based FPGA fabricoptimized for low cost and power[C]. Proceedings of the19th ACM/SIGDAinternational symposium on Field programmable gate arrays. New York, NY,USA: ACM,2011:87–96.
    [79] Betz V, Rose J. VPR: A new packing, placement and routing tool for FPGAresearch[C]. Proceedings of International Conference on Field ProgrammableLogic and Applications. London, UK: Springer-Verlag,1997:213–222.
    [80] Luu J, Kuon I, Jamieson P, et al. VPR5.0: FPGA cad and architectureexploration tools with single-driver routing, heterogeneity and processscaling[C]. Proceedings of the ACM/SIGDA17th International Symposium onField Programmable Gate Arrays. New York, NY, USA: ACM,2009:133–142.
    [81] Lemieux G, Lee E, Tom M, et al. Directional and single-driver wires in FPGAinterconnect[C]. Proceedings of IEEE International Conference onField-Programmable Technology.2004:41–48.
    [82] Smith A M, Constantinides G A, Wilton S, et al. Concurrently optimizing FPGAarchitecture parameters and transistor sizing: Implications for FPGA design[C].Proceedings of the Conference on Field-Programmable Technology.2009:54–61.
    [83] XC4000E and XC4000X Series Field Programmable Gate Arrays[J]. Xilinx, Inc.,1999.
    [84] Reddy E S S, Chandrasekhar V, Sashikanth M, et al. Detecting SEU-causedrouting errors in SRAM-based FPGAs[C]. Proceedings of18th InternationalConference on VLSI Design. Washington, DC, USA: IEEE Computer Society,2005:736–741.
    [85] Gao H, Yang Y, Ma X, et al. Testing for Resistive Shorts in FPGAInterconnects[C]. Proceedings of Sixth International Symposium on Quality ofElectronic Design. Washington, DC, USA: IEEE Computer Society,2005:159–163.
    [86] Anderson J H, Najm F N. Active leakage power optimization for FPGAs[J].IEEE Transactions on Computer-Aided Design of Integrated Circuits andSystems,2006,25(3):423–437.
    [87] Zhu K. Post-route LUT output polarity selection for timing optimization[C].Proceedings of ACM/SIGDA15th International Symposium on FieldProgrammable Gate Arrays. New York, NY, USA: ACM,2007:89–96.
    [88] Werner T. A Linear Programming Approach to Max-Sum Problem: A Review[J].IEEE Transactions on Pattern Analysis and Machine Intelligence,2007,29:1165–1179.
    [89] Micusik B, Pajdla T. Multi-label image segmentation via max-sum solver[C].Proceedings of IEEE Conference on Computer Vision and Pattern Recognition.IEEE,2007:1–6.
    [90] Mishchenko A, Zhang J S, Sinha S, et al. Using simulation and satisfiability tocompute flexibilities in Boolean networks[J]. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2006,25(5):743–755.
    [91] Mishchenko A, Brayton R, Jiang J-H R, et al. Scalable don’t-care-based logicoptimization and resynthesis[C]. Proceeding of the ACM/SIGDA internationalsymposium on Field programmable gate arrays. New York, NY, USA: ACM,2009:151–160.
    [92] Cong J, Minkovich K. LUT-based FPGA technology mapping for reliability[C].Proceedings of the47th Design Automation Conference. New York, NY, USA:ACM,2010:517–522.
    [93] Xin Wang. Partitioning Triple Modular Redundancy for Single Event UpsetMitigation in FPGA[C]. Proceedings of2010International Conference onE-Product E-Service and E-Entertainment. IEEE,2010:1–4.
    [94] Johnson J M, Wirthlin M J. Voter insertion algorithms for FPGA designs usingtriple modular redundancy[C]. Proceedings of the18th annual ACM/SIGDAInternational Symposium on Field Programmable Gate Arrays. New York, NY,USA: ACM,2010:249–258.
    [95] Sterpone L, Reorda M S, Violante M. RoRA: a reliability-oriented place androute algorithm for SRAM-based FPGAs[C]. PhD Research in Microelectronicsand Electronics.2005,1:173–176.
    [96] Manuzzato A, Gerardin S, Paccagnella A, et al. Effectiveness of TMR-basedtechniques to mitigate alpha-induced SEU accumulation in commercialSRAM-based FPGAs[J]. IEEE Transactions on Nuclear Science,2008,55(4):1968–1973.
    [97] Brian Pratt, Michael Caffrey, Derrick Gibelyou, et al. TMR with more frequentvoting for improved FPGA reliability[C]. Proceedings of the InternationalConference on Engineering of Reconfigurable Systems and Algorithms. LasVegas, Nevada, USA:2008.
    [98] Mukherjee S S, Emer J, Fossum T, et al. Cache scrubbing in microprocessors:myth or necessity?[C]. Proceedings of the10th IEEE Pacific Rim InternationalSymposium on Dependable Computing. IEEE,2004:37–42.
    [99] Eric Dupont, Olivier Lauzeral, Rémi Gaillard, et al. Radiation Results of theSER Test of Actel, Xilinx and Altera FPGA instances[R]. Test Report No0.06A,iRoC Technologies,2004.
    [100]Normand E. Single event upset at ground level[J]. IEEE Transactions on NuclearScience,1996,43(6):2742–2750.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700