高k栅堆栈电荷陷阱型MONOS存储器的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
电荷陷阱型存储器作为传统悬浮栅Flash存储器的后时代应用,目前所面临的技术挑战是在不断缩小的工艺节点要求下,实现在降低工作电压的同时,获得大的存储窗口、快的编程/擦除速度、好的疲劳特性以及10年数据保持力。对于Metal-Oxide-Nitride-Oxide-Silicon (MONOS)型存储器,使用高k材料替代传统的SiO2和Si3N4,对其阻挡层、电荷存储层和隧穿层各功能层从材料、结构及制备工艺等方面进行优化,是改善和提高电荷陷阱型存储器性能的主要途径。本论文即围绕上述内容开展研究工作。在实验方面,对多种高k介质材料作为电荷存储层进行了研究比较,并设计制备了新型双存储层结构、高k/低k双隧穿层结构,对制备工艺进行了优化,以获得存储窗口、编程/擦除速度、数据保持力和疲劳特性之间的较好折衷;在理论方面,建立了MONOS存储器编程状态下的电子保持特性模型。
     在电荷存储层高k介质材料以及制备工艺方面,开展了以下研究工作:①通过在La基氧化物中添加过渡金属Hf、Ti、Y,形成La系二元混合金属氧化物作为MONOS存储器的电荷存储层。实验发现,氮化的LaHfO(LaHfON)由于N的引入增加了电荷陷阱密度,提高了陷阱的电荷俘获效率,同时强的Hf-N、La-N键的形成以及好的LaHfON/SiO2界面质量增强了介质稳定性,有利于电荷的保持;La基氧化物中掺Y比掺Ti更有利于增加存储层的电荷陷阱密度,提高电荷俘获效率,并在淀积后的退火处理过程中能更有效地抑制存储层与SiO2界面附近过渡层的形成,从而减少了浅能级陷阱或缺陷的产生,使存储器在获得大的存储窗口和快的电荷注入速度的同时,也具有好的的疲劳特性和电荷保持力;②采用GdO作为电荷存储层,研究溅射过程中不同气体环境(N2或O2)以及淀积后不同热退火处理工艺对介质薄膜质量和存储性能的影响。实验结果表明,N引入GdO介质中导致大量电子陷阱产生,大大增加了存储窗口,且通过5500C/2min的NH3退火处理,能够有效抑制存储层/SiO2界面附近浅能级陷阱的产生,调整存储层中陷阱分布,可获得存储窗口、编程/擦除速度以及疲劳和电荷保持特性之间的较好折衷。
     在新型栅堆栈结构方面:①提出了Au/HfAlO/AlN/(HfON/SiO2)/Si多层栅堆栈结构:采用k值相差较大的高k HfON介质层与SiO2结合形成HfON/SiO2双隧穿层结构,提高了电荷注入效率和速度;具有深能级陷阱的AlN作为电荷存储层提供了优良的电荷俘获能力和电荷存储稳定性;高功函数的Au电极以及具有合适k值和势垒高度的HfAlO阻挡层能够有效减少擦除期间来自控制栅的电子注入以及保持期间存储层中电荷的泄漏,缩短擦除时间,提高数据保持力;②从带隙工程出发,提出并制备了由高k介质TiON/HfON组成的双存储层结构,利用淀积后快速热退火过程中Ti、Hf元素的互扩散,形成Ti、Hf含量渐变的Hf1-xTixON混合层,从而形成从隧穿层到阻挡层带隙逐渐增加的锥形能带结构(禁带宽度随Ti含量的增加而单调减小)。Hf1-xTixON混合层具有高的电荷陷阱密度,其锥形能带结构形成的多能级陷阱分布有利于提高陷阱俘获电荷的能力和电荷注入效率,从而获得大的存储窗口和快的编程/擦除速度。另外,存储层锥形能带结构与隧穿层之间较高的势垒高度能有效阻挡陷阱电荷的逸出,从而提高了电荷保持力。
     在理论模型研究方面,以陷阱至导带(TB)隧穿作为存储电子的泄漏机制,采用类三角形陷阱能级分布,并考虑陷阱空间分布分别为均匀分布或局域分布两种情况,建立了MONOS型存储器编程状态下的电子保持特性理论模型。通过将模拟仿真结果与实验测量数据进行比较,验证了所建电荷保持特性模型的正确性和准确性。
As next-generation application of the traditional floating-gate flash memory, charge-trapping memory presently faces some severe technique challenges as the technology nodecontinuously scales down, i.e. at low operating voltages, large memory window, fastprogram/erase (P/E) speed, good endurance and10-year data retention must be attained.For metal-oxide-nitride-oxide-silicon (MONOS) memory, the conventional SiO2and Si3N4are substituted by the high-k dielectrics, and hence optimization of the material, structureand fabricating processes of its blocking layer (BL), charge storage layer (CSL) andtunneling layer (TL) will be the main approach of improving performances ofcharge-trapping memory. These are just research work performed in this dissertation.Experimentally, some high-k dielectric materials as CSL are investigated and compared, thenovel dual-CSL and dual-TL structures of high-k/low-k are designed and prepared, andoptimization of the fabrication processes has been carried out to achieve a good trade-offamong the memory window, P/E speed, endurance property and retention characteristics.Theoretically, the electron-retention model of MONOS memory under the programmingstate has been established.
     Some investigations have been done on the high-k dielectric materials and fabricatingprocesses of the CSL:①The La-based binary-mixing oxides as the CSL of MONOSmemory are prepared by doping Hf, or Ti, or Y into La oxide and their effects on theperformances of the devices are investigated and compared in detail. It is experimentallyfound that high density of traps with deeper levels and thus high trapping efficiency, strongHf-N and La-N bonds and stable HfLaON/SiO2interface and thus reasonable data retentioncan be achieved by incorporation of N into HfLaO to form HfLaON. Compared to deviceswith LaTiO CSL, the devices with LaYO CSL exhibits larger memory window, higherprogram speed, better retention and endurance properties, suggesting that the Y-doped Laoxide could provide a large amount of bulk traps and thus high charge-trapping efficiency,and effectively suppress formation of the interfacial silicate layer near the CSL/SiO2interface during post-deposition anneal, reducing generation of shallow-level traps or defects;②Using GdO as CSL, the effects of different sputtering ambient (N2or O2) andanneal processing on quality of GdO thin film and performances of the memory areinvestigated. Experimental results indicate that the memory window is greatly increaseddue to generation of a large quantity of electron traps induced by incorporation of N intothe GdO dielectric, and furthermore, a good trade-off among the memory window, P/Espeed, endurance, and retention characteristics can be achieved by NH3annealing at5500Cfor2min, which can effectively suppress creation of shallow-level traps near the CSL/SiO2interface, and thus give suitable spatial distribution of traps with deeper energy level in thebulk of the CSL.
     Regarding novel stacked gate structure:①A stacked gate structure of Au/HfAlO/AlN/(HfON/SiO2)/Si is proposed and prepared by in-situ sputtering method, where the ultrathindual-TL of HfON/SiO2is used to increase speed and efficiency of charge injection, the AlNCSL with deep-level traps is employed to provide large memory window, good chargecapturing capability and stability of charge trapping, Au electrode with high work functionand HfAlO BL with suitable k value and barrier height can effectively reduce electroninjection from the control gate into CSL during erasing and leakage of those charges in CSLduring retention, thus shortening erase time and enhancing data retention.②Based onbandgap engineering, a dual CSL composed of TiON/HfON is proposed and prepared, anda tapered bandgap structure with bandgap increasing from the TL to the BL is formed dueto the inter-diffusion between Ti and Hf near the TiON/HfON interface which leads to anintermixing layer of HfxTiyON with varying Hf/Ti ratio in the dual CSL during post-deposition annealing. A large quantity of electron traps exists in the dual CSL due to themixing of HfON and TiON. The tapered bandgap structure can give a multi-level trapdistribution, which is beneficial for enhancing charge capturing capability of traps andcharge injection efficiency, thus obtaining large memory window and high P/E speed. Inaddition, a large barrier height between the TiON and TL can effectively block escaping ofthose trapping charges, enhancing data retention.
     Theoretically, an analytical model of electron retention under the programming state isestablished by taking trap-to-band (TB) tunneling as leakage mechanism of the trappedelectrons, assuming a triangle-like energy-level distribution of traps and considering the trap spatial distribution as the uniform or local profiles respectively. The correctness andaccuracy of the model are confirmed by good agreement of the simulated results withexperimental data.
引文
[1] Bardeen J., Brattain W. H., The Transistor, A Semi-Conductor Triode. Physical Review,1948,74(2):230-231.
    [2] Kahng D., Sze S. M. A., Floating-gate and its application to memory devices. The BellSystem Technical Journal,1967,46(6):1288-1293.
    [3] Martijn H. R. Lankhorst, Bas W. S. M. M. Ketelaars, R.A. M. Wolters, Low-cost andnanoscale non-volatile memory concept for future silicon chips. Nature Materials,2005,4:347-352.
    [4] Sze S. M., Luryi S., Xu J., Future trends in Microelectronics, New York: Wiley,1999.
    [5] Verma G., Mielke N., Reliability performance of ETOX based flash memories, in IEEEInternational Reliability Physics Symposium (IRPS). Phoenix, AZ:1988:158-166.
    [6] Pavan P., Bez R., Olivo P., Zanoni E., Flash memory cells-an overview. Proceedings ofthe IEEE,1997,85(8):1248-1271.
    [7] Bez R., Amerlenghi E. C., Odeli A. M., Isconi A. V., Introduction to flash memory.Proceeding of the IEEE,2003,91(4):489-492.
    [8] Lai S. K., Flash memories: Successes and challenges. IBM Journal of Research andDevelopment,2008,52(4.5):529-535.
    [9] White M. H., Adams D. A., Murray J. R, et al., Characterization of scaled SONOSEEPROM memory devices for space and military systems, in Non-Volatile MemoryTechnology Symposium. Oriando, FL:2004:51-59.
    [10] Lenzlinger M., Snow E. H., Fowler-Nordheim tunneling into thermally grown SiO2.Journal of Applied Physics,1969,40:278-283.
    [11] Eitan B., Frohman Bentchkowsky D., Hot electron injection into the oxide inn-channel MOS devices IEEE Transaction on Electron Devices,1981,28(3):328-340.
    [12]Moore G. E., Progress in digital integrated electronics, in IEEE IEDM Tech. Dig.1975:11-13.
    [13] Lee J. D., Hur S. H., Choi J. D., Effects of floating-gate interference on NAND flashmemory cell operation. IEEE Electron Device Letter,2002,23(5):264-266.
    [14] Van Houdt J., Charge-based nonvolatile memory: Near the end of the roadmap?Current Applied Physics,2011,11(2): e21-e23.
    [15] Lu C. Y., Hseih K. Y., Liu R., Future challenges of flash memory technologies.Microelectronic Engineering,2009,86(3):283-286.
    [16] Ghetti A., Bortesi L., Vendrame L.,3D simulation study of gate coupling and gatecoss-interference in advanced floating gate non-volatile memories. Solid-StateElectronics,2005,49(11):1805-1812.
    [17] Ranmuthu K. T. M., Ranmuthu I. W., Pohm A. V., et al., High speed (10-20ns)non-volatile MRAM with folded storage elements. IEEE Transaction on Magnetics,1992.28(5):2359-2361.
    [18] Hirano H., H.T., Moriwaki N., et al.,2-V/100-ns1T/1C nonvolatile ferroelectricmemory architecture with bitline-driven read scheme and nonrelaxation reference cell.IEEE Journal of Solid-State Circuits1997.32(5):649-654.
    [19] Hwang Y. N., H.J.S., Lee S. H., et al., Phase-change chalcogenide nonvolatile RAMcompletely based on CMOS technology, in International Symposium on VLSITechnology, Systems, and Applications,2003:29-31.
    [20] Zhuang W. W., P.W., Ulrich B. C., et al, Novel colossal magnetoresistive thin filmnonvolatile resistance random access memory, in IEEE IEDM Tech. Dig.2002:193-196.
    [21] Kinam Kim, Technology for sub-50nm DRAM and NAND flash manufacturing, inIEEE IEDM Tech. Dig.2005:323-326.
    [22] Bogdan Govoreanu, Pieter Blomme, Jan Van Houdt, et al., Enhanced TunnelingCurrent Effect for Nonvolatile Memory Applications. Japanese Journal of AppliedPhysics,2003,42(4B):2020-2024.
    [23] Adams D. A., Mavisz D., Murray J. R., White M. H., SONOS nonvolatilesemiconductor memories for space and military applications, in IEEE InternationalAerospace Conference,2001:2295-2300.
    [24] Wegener H. A. R., Lincoln A. J., Pao H. C., et al., The variable-threshold transistor, anew electrically-alterable, nondestructive READ-only storage device. IEEETransaction on Electron Devices,1968,15(6):420-421.
    [25] Zhang Yanli, Jin Zhian, Wang Gan, et al, A quantum mechanical model of gate leakagecurrent for scaled NMOS transistors with Ultra-thin high-k dielectrics and metal gateelectrodes, in International Semiconductor Device Research Symposium. WashingtonD. C.:2007:1-2.
    [26] White M. H., Wang Yu, Wrazien Stephen J., Zhao Yijie, Recent Advancements inNanoelectronic SONOS Nonvolatile Semiconductor Memory (NVSM) Devices.Intenational Journal of High Speed Electronics and Systerms,2007,16(2):479-501.
    [27] Ohnakado, Takahiro, Ajika, Natsuo, Review of device technologies of flash memories.IEICE Transactions on Electronics,2001,59(1-4):213-223
    [28] Lue H. T., Wang S.Y., Lai E. K., et al., A bandgap engineered SONOS with excellentperformance and reliability, in IEEE IEDM Tech. Dig. Washington, DC:2005:555-558.
    [29] Eitan B., Cohen G., Shappir A., et al.,4-bit per cell NROM reliability, in IEEE IEDMTech. Dig. Washington, DC:2005:539-542.
    [30] Shapira A., Shur Y., Diamand Y. S., Interface states formation in a localized chargetrapping nonvolatile memory device. Jounral of Vacuum Science&Technology,2009,27(1):508-511.
    [31] Lee Chang Hyun, Choi Kyung In, Cho Myoung Kwan, et al., A Novel SONOSStructure of SiO2/SiN/Al2O3with TaN metal gate for multi-giga bit flash memeries, inIEEE IEDM Tech. Dig.2003:26.5.1-26.5.4.
    [32] Lee C. G., Meteer J., Narayanan V., Self-assembly of metal nanocrystals on ultrathinoxide for nonvolatile memory applications. Journal of Electronic Materials,2005,34(1):1-11.
    [33] Liu Z., Lee C., Narayanan V., Metal nanocrystal memories part II: Electricalcharacterisitics. IEEE Transaction on Electron Devices,2002,49(9):1614-1622.
    [34] Sargents C., Giannakopoulos K., Travlos A., Electrieal characterization of MOSmemory devices containing metallic nanoParticles and a high-k control oxide layer.Surface Science,2007,601(13):2859-2863.
    [35] Han K., Kim I., Shin H., Programming characteristics of p-channel Si nano-crystalmemory IEEE Electron Device Letter,2000,21(6):313-315.
    [36] Yang J. S., Kim S. I., Kim Y. T., et al., Electrical characteristics of nano-crystal Siparticles for nano floating gate memory, in IEEE Nanotechnology Materials andDevices Conference. Gyeongju:2006:628-629.
    [37] Choi Y. K., King T. J., Hu C. M., Nanoscale CMOS spacer FinFET for the terabit era.IEEE Electron Device Letter,2002,23(1):25-27.
    [38] Crupi G., Schreurs D., Parvais B., et al., Scalable and multibias high frequentcymodeling of multi-fin FETs. Solid-State Electronics,2006,50(11-12):1780-1786.
    [39] Foran B., Ives N., Lysaght P., Tomography of High-k dielectrics on Fin-FET sidewalls.Microscopy and Microanalysis,2008,14(2):444-445.
    [40] Ielmini D., Spinelli A.S., Lacaita A. L., Recent developments on flash memory rebility.Microelectronic Engineering,2005,80:321-328.
    [41] Gu S. H., Hsu C. W., Wanf Tahui, et al., Simulation of bottom oxide thickness effecton charge retention in SONOS flash memory cells. IEEE Transaction on ElectronDevices,2007,54(1):90-97.
    [42] Lue H. T., Wang S. Y., Lai E. K., et al., BE-SONOS: A bandgap engineered SONOSwith excellent performance and reliability, in IEEE IEDM Tech. Dig. Washington, DC:2005:555-558.
    [43] Baik S. J., Choi S., Chung U. I., Moon J. T., High speed and nonvolatile Si nanocrystalmemory for scaled Flash technology using highly field-sensitive tunnel barrier, inIEEE IEDM Tech. Dig.2003:22.3.1-22.3.4.
    [44] Hong S. H., Janf J. H., Park T. J., et al., Improvement of the current-voltagecharacteristics of a tunneling dielectric by adopting a Si3N4/SiO2/Si3N4multilayer forflash memory application. Applied Physical Letters,2005,87(15):1521061-1511063.
    [45] Suhane A., Arreghini A., Bosch G. Vanden, et al., Experimental assessment ofelectrons and holes in erase transient of TANOS and TANVaS memories. IEEEElectron Device Letter,2010,31(9):936-938.
    [46] Buckley J., Molas G., Gely M., et al., Evaluation of the degradation of floating-gatememories with Al2O3tunnel oxide, in Solid-Sate Device Research Conference,Proceeding of the36th European.2006:246-249.
    [47] Govoreanu B., Blomme P., Rosmeulen M., et al., VARIOT: A novel multilayer tunnelbarrier concept for low-voltage nonvolatile memory devices. IEEE Electron DeviceLetter,2003,24(2):99-101.
    [48] Govoreanu B., Blomme P., Houdt J. V., Meyer K. D., Enhanced tunneling currenteffect for nonvolatile memory applications. Japanese Journal of Applied Physics,2003,42(4B):2020-2024.
    [49] Wang Ying Qian, Hwang Wan Sik, Zhang Gang, et al., Electrical characteristics ofmemory devices with a high-κ HfO2trapping layer and dual SiO2/Si3N4tunnelinglayer. IEEE Transactions on Electron Devices,2007,54(10):2699-2705.
    [50] Wang Y. Q., Gao D. Y., Hwang W. S., et al., Fast erasing and highly reliable MONOStype memory with HfO2high-k trapping layer and Si3N4/SiO2tunneling stack, in IEEEIEDM Tech. Dig.2006:1-4.
    [51] Tan Y. N., Chim W. K., Cho B. J., Choi W. K., Over-erase phenomenon inSONOS-type Flash memory and its minimization using a hafnium oxide chargestorage layer. IEEE Transaction on Electron Devices,2004,51(7):1143-1147.
    [52] Specht M., Reisinger H., Hofmann F., et al., Charge trapping memory structures withAl2O3trapping dielectric for high-temperature applications. Solid-State Electronics,2005,49(5):716-720.
    [53] Maikap S., Rahaman S. Z., Tien T. C., Nanoscale (EOT=5.6nm) nonvolatile memorycharacteristics using Si/SiO2/HfAlO nanocrystal/Al2O3/Pt capacitors. Nanotechnology,2008,19:435202-435206.
    [54] Pan Tung-Ming, Yeh Wen-Wei, Silicon-oxide-high-k-oxide-silicon memory using ahigh-k Y2O3nanocrystal film for flash memory application. Jounral of VacuumScience&Technology A,2009,27(4):700-705.
    [55] Wang Xuguang, Kwong Dim-Lee, A novel high-k SONOS memory usingTaN/Al2O3/Ta2O5/HfO2/Si structure for fast speed and long retention operation. IEEETransaction on Electron Devices,2006,53(1):78-82.
    [56] Zhang Gang, Wang Xin-Peng, Yoo Won Jong, Li Ming-Fu, Spatial distribution ofcharge traps in a SONOS-type flash memory using a high-k trapping layer. IEEETransaction on Electron Devices,2007,54(12):3317-3324.
    [57] Pan Tung-ming, Chen Fa-Hsyang, Al/Al2O3/Sm2O3/SiO2/Si structure memory fornonvolatile memory application. Semiconductor Science and Technology,2011,26:045004-045008.
    [58] Satoshi Kitai, Osamu Maida, Takeshi Kanashima, Masanori Okuyama, Preparationand Characterization of High-k Praseodymium and Lanthanoid Oxide Thin FilmsPrepared by Pulsed Laser Deposition. Japanese Journal of Applied Physics,2003,42:247-253.
    [59] Pan Tung-ming, Chen Jing-Wei, Metal-oxide-high-k-oxide-silicon memory structureusing an Yb2O3charge trapping layer. Applied Physical Letters,2008,93(18):183510-183512.
    [60] Pan Tung-Ming, Chen Fa-Hsyang, Jung Ji-Shing, Steuctural and electricalcharacteristics of high-k Tb2O3and Tb2TiO5charge trapping layers for nonvolatilememory applications. Journal of Applied Physics,2010,108(7):074501-074505.
    [61] Beug M. F., Melde T., Paul J., Knoefler R., TaN and AI2O3Sidewall Gate-etchDamage Influence on Program, Erase, and Retention of Sub-50-nm TANOS NANDFlash emory Cells. IEEE Transaction on Electron Devices,2011,58(6):1728-1734.
    [62] Tsai P. H., Chang-Liao K. S., Yang D. W., et al., Crucial integration of highwork-function metal gate and high-k blocking oxide on charge-trapping type flashmemory metal. Applied Physical Letters,2008,93(25):252902-252904
    [63] Gritsenko V. A., Design of SONOS memory transistor for terabit scale EEPROM, inIEEE Conference on Electron Devices and Solid-State Circuits.2003:345-348.
    [64] Pavan P., Bez R., Olivo P. and Zanoni E., Flash memory cells-An overview.Proceeding of the IEEE,1997,85(8):1248-1271.
    [65] Liu Zhi Hong, Lai P. T., Cheng Yiu Chung, Characterization of charge trapping andhigh-field endurance for15-nm thermally nitrided oxides. IEEE Transaction onElectron Devices,1991,38(2):344-354.
    [66] Mavrou G., Galata S., Tsipas P., et al., Electrical properties of La2O3and HfO2/La2O3gate dielectrics for germanium metal-oxide-semiconductor devices. Journal of AppliedPhysics,2008,103(1):014506-014514.
    [67] Lin Yu-Hsien, Chien Chao-Hsin, Yang Tsung-Yuan, Lei Tan-Fu, Two-bit lanthanumoxide trapping layer nonvolatile flash memory Semiconductor Devices, Materials, andProcessing. Journal of Electrochemical Society,2007,154(7): H619-H622.
    [68] Pan Tung-Ming, Yeh Wen-Wei, High-performance high-k Y2O3SONOS-type flashmemory. IEEE Transactions on Electron Devices,2008,55(9):2354-2360.
    [69] Kim Seong-Keun, Kim Wan-Don, Kim Kyung-Min, et al., High dielectric constantTiO2thin films in a Ru electrode grown at250°C by atomic-layer deposition. AppliedPhysical Letters,2004,85(18):4112-4114.
    [70] Choi Won-Ho, Han In-Shik, Kwon Hyuk-Min, et al., Comparison of La-based high-kdielectrics: HfLaSiON and HfLaON. Microelectronic Engineering,2009,83(3):268-271.
    [71] Xu H. X., Xu J. P., Li C. X., et al., Optimization of N content for High-k LaTiON gatedielectric of Ge MOS capacitor, in IEEE international conference of Electron Devicesand Solid-State Circuits. Xi'an:2009:225-228.
    [72] Arimura H., Kitano N., Naitou Y., et al., Excellent electrical properties ofTiO2/HfSiO/SiO2layered higher-k gate dielectrics with sub-1nm equivalent oxidethickness. Applied Physical Letters,2008,92(21):212902-212905.
    [73] Li C. X., Lai P. T., Wide-bandgap high-k Y2O3as passivating interlayer for enhancingthe electrical properties and high-field reliability of n-Ge metal-oxide-semiconductorcapacitors with high-k HfTiO gate dielectric. Applied Physical Letters,2009,95(2):022910-022912.
    [74] Visokay M. R., Chambers J. J., Rotondaro A. L. P., et al., Application of HfSiON as agate dielectric material. Applied Physics Letters,2002,80(17):3183-3185.
    [75] Choi C. H., Jeon T. S., Clark R., et al., Electrical properties and thermal stability ofCVD HfOxNy gate dielectric with poly-Si gate electrode. IEEE Electron Device Letter,2003,24(4):215-217.
    [76] Chang Shou-Tung, Johnson N. M., Lyon S. A., Capture and tunnel emission ofelectrons by deep levels in ultra-thin nitride oxides on silicon. Applied Physics Letters,1984,44(33):316-318.
    [77] Pan Tung-Ming, Hou Sung-Ju, Wang Chih-Hwa, Effects of nitrogen content on thestructure and electrical properties of high-k NdOxNygate dielectrics. Journal ofApplied Physics,2008,103(12):124105-124112.
    [78] Lu X. B., Lee P. F., Dai J. Y., Synthesis and memory effect study of Ge nanocrystalsembedded in LaAlO3high-k dielectrics. Applied Physics Letters,2005,86(20):203111-203113.
    [79] Tery L. Barr, An ESCA study of the termiantion of the passivation of elemental metals.Journal of Physical Chemistry,1978,82(16):1801-1810.
    [80] Chambers J. J., and Parsons G. N., Physical and electrical characterization of ultrathinyttrium silicate insulators in silicon. Journal of Applied Physics,2001,90(2):918-933.
    [81] Schroeder T., Lupina G., Dabrowski J., et al., Titanium-aded praseodymium silicatehigh-k layers on Si (001). Applied Physical Letters,2005,87(2):022902-022904.
    [82] Wang J. C., Model for impedance of a solid ionoc conductor sandwiched betweenblocking electrodes. Electrochimica Acta,1993,38:2111-2114.
    [83] Peacock P. W., and Robertson J., Band offsets and schottky barrier heights of highdielectric constant oxides. Journal of Applied Physics,2002,92(8):4712-4721.
    [84] Maikap S., Wang T. Y., Tzeng P. J., et al., Band offsets and charge storagecharacteristics of atomic layer deposited high-k HfO2/TiO2multilayer. AppliedPhysical Letters,2007,90(26):262901-262903.
    [85] Hubbard K. J., Schlom D. G., Thermodynamic stability of binary oxides in contactwith silicon. Journal of Materials Research,1996,11(11):2757-2776.
    [86] Garner C. M., Herr D., Obeng Y., Metrology and Characterization Challenges forEmerging Research Materials and Devices, in Frontiers of Characterization andMetrology for Nanoelectronics, AIP Conference Proceedings. Grenoble, France:2011:43-46.
    [87] Osten H. J., Bugiel E., Czernohorsky M., et al., Molecular Beam Epitaxy ofRare-Earth Oxides. Rare Earth Oxide Thin Films: Growth, Characterization, andApplication,2007,106:101-114.
    [88] Kwo J., Hong M., Kortan A. R., et al, High ε gate dielectrics Gd2O3and Y2O3forsilicon. Applied Physical Letters,2000,77(1):130-132.
    [89] Gottlob H. D. B.,0.86-nm CET Gate Stacks With Epitaxial Gd2O3High-k Dielectricsand FUSI NiSi Metal Electrodes. IEEE Electron Device Letter,2006,27(10):814-816.
    [90] Wang Jer-Chyi, Lin Chih-Ting, Chou Pai-Chi, Lai Chao-Sung, Gadolinium-basedmetal oxide for nonvolatile memory applications. Microelectronics Reliability,2012,52(4):635-641.
    [91] Wang Jer-Chyi, Chou Pai-Chi, Lai Chao-Sung, Liu Li-Chi, Dual-sputtered processsensitivity of HfGdO charge-trapping layer in SONOS-type nonvolatile memory.jounral of Vacuum Science&Technology B,2011,29(1):011009-011013.
    [92] He G., Zhang L. D., Liu M., et al., Thickness-modulated optical dielectric constantsand band alignments of HfOxNygate dielectrics. Journal of Applied Physics,2009,105(1):014109-014112.
    [93] Hillers M., Matzen G., Veron E., et al., Application of in situ high-temperaturetechniques to investigate the effect of B2O3on the crystallization behavior ofaluminosilicate E-glass. Journal of the American Ceramic Society,2007,90(3):720-726.
    [94] Renault O., Samour D., Damlencourt J. F., et al., HfO2/SiO2interface chemistrystudied by synchrotron radiation x-ray photoelectron spectroscopy. Applied PhysicalLetters,2002,81(19):3627-3629.
    [95] Curreem K. K. S., Lee P. F., Wong K. S., et al., Comparison of interfacial andelectrical characteristics of HfO2and HfAlO high-k dielectrics on compressivelystrained Si1-xGex. Applied Physical Letters,2006,88(18):182905-182907.
    [96] Chen Wei-Ren, Chang Ting-Chang, Liu Po-Tsun, et al., Nonvolatile memorycharacteristics of nickel-silicon-nitride nanocrystal. Applied Physical Letters,2007,91(8):082103-082105.
    [97] Hori T., Iwasaki H., Naito Y., Esaki H., Electrical and physical characteristics of thinnitrided oxides prepared by rapid thermal nitridation. IEEE Transaction on ElectronDevices,1987,34(11):2238-2245.
    [98] Chiang Kuo-Chang, Hsieh Tsung-Eong, Effect of Nitrogen Incorporation toAgInSbTe-SiO2Nanocomposite Thin Films Applied to Nonvolatile Floating GateMemory. IEEE Transaction on Magnetics,2011,47(3):656-662.
    [99] Wang Jer-Chyi, Lin Chih-Ting, CF4plasma treatment on nanostructure bandengineered Gd2O3-nanocrystal nonvolatile memory. Journal of Applied Physics,2011,109(6):064506-064512.
    [100] Hamamura H., Ishida T., Toshiyuki Mine, et al., Electron trapping characteristics andscalability of HfO2as a trapping layer in SONOS-type flash memories, in IEEEInternational Reliability Physics Symposium (IRPS)2008:412-416.
    [101] Akbar M. S., Gopalan S., Cho H. J., et ai., High-performance TaN/HfSiON/Simetal-oxide-semiconductor structures prepared by NH3post-deposition anneal.Applied Physical Letters,2003,82(11):1757-1759.
    [102] Ji Mei, Wang Lei, Wei Feng, et al., Study of negative oxygen vacancies inGd2O3-doped HfO2thin films as high-k gate dielectrics. Semiconductor Science andTechnology,2010,25(7):075008-075011.
    [103] Carter R. J., Cattier E., Kerber A., et al., Passivation and interface state density ofSiO2/HfO2-based/polycrystalline-Si gate stacks. Applied Physical Letters,2003,83(3):533-535.
    [104] Tse K., Robertson J., Defects and their passivation in high K gate oxides.Microelectronic Engineering,2007,84(4):663-668.
    [105] Gavartin J. L., Ramo D. M., Shluger A. L., et al., Negative oxygen vacancies in HfO2as charge traps in high-k stacks. Applied Physical Letters,2006,89(8):082908-082910.
    [106] Tang C., Tuttle B., Ramprasad R., Diffusion of O vacancies near Si: HfO2interfaces:An ab initio investigation. Physical Review B,2007,76(7):073306-073309.
    [107] Brassard D., Sarka D. K., Khakani M. A. El, Ouellet L., Compositional effect on thedielectric properties of high-k titanium silicate thin films deposited by means of acosputtering process Jounral of Vacuum Science&Technology A,2006,24(3):600-605.
    [108] Chalker P. R., Werner M., Romani S., et al., Permittivity enhancement of hafniumdioxide high-κ films by cerium doping. Applied Physical Letters,2008,93(18):182911-182913.
    [109] Kim Eunkyeom, Yim Taekyung, An Seungman, et al., Dual dielectric tunnel barrierin silicon-rich silicon nitride charge-trap nonvolatile memory. Applied PhysicalLetters,2011,97(22):222107-222109.
    [110] Wang Terry Tai-Jui, Chen Chao-Jui., Teng I-Ju, et al., Ir Nanocrystals on AsymmetricSi3N4/SiO2Tunneling Layer with Large Memory Window for Nonvolatile MemoryApplication. Nanoscience and Nanotechnology Letters,2011,3(2):235-239.
    [111] Jung Sungwook, Hwang Sunghyun, Yi J., Memory properties of oxide–nitride–oxynitride stack structure using ultra-thin oxynitrided film as tunneling layer fornonvolatile memory device on glass. Thin Solid Films,2008,517(1):362-364.
    [112] Ding Shi-Jin, Zhang Min, Chen Wei, et al., High density and program-erasablemetal-insulator-silicon capacitor with a dielectric structure of SiO2/HfO2–Al2O3/nanolaminate/Al2O3. Applied Physical Letters,2006,88(4):042905-042907.
    [113] You Hee-Wook, Oh Se-Man, Cho Won-Ju, Thickness dependence of high-k materialson the characteristics of MAHONOS structured charge trap flash memory. Thin SolidFilms,2009.518(22):6460-6464.
    [114] Lee Chang-Hyun, Hur Sung-Hoi, Shin You-Cheol, et al., Charge-trapping devicestructure of SiO2/SiN/high-k dielectric Al2O3for high-density flash memory. AppliedPhysical Letters,2005,86(15):152908-152910.
    [115] Molas G., Grampeix H., Buckley J., et al., In-depth Investigation of HfAlO Layers asInterpoly Dielectrics of Future Flash Memories, in Solid-State Device ResearchConference (ESSDERC).2006:242-245.
    [116] Rotole John A., Sherwood Peter M. A., Gamma-Alumina (γ-Al2O3) by XPS SurfaceScience Spectra,1998,5(1):18-24.
    [117] Molas G., Colonna J. P., Kies R., et al., Investigation of charge-trap memories withAlN based band engineered storage layers. Solid-State Electronics,2011,58(1):68-74.
    [118] Yu H. Y., Li M. F., Cho B. J., et al., Energy gap and band alignment for(HfO2)x(Al2O3)1-xon (100) Si. Applied Physical Letters,2002,81(2):376-378.
    [119] He G., Meng G. W., Zhang L. D., Liu M., Temperature-dependent interfacial chemicalbonding states and band alignment of HfOxNy/SiO2/Si gate stacks. Applied PhysicalLetters,2007,91(23):232910-232912.
    [120] Robertson John, Band offsets of wide-band-gap oxides and implications for futureelectronic devices. Jounral of Vacuum Science&Technology B,2000,18(3):1785-1791.
    [121] Wu C. I., Kahn A., Hellman E. S., Buchanan D. N. E., Electron affinity at aluminumnitride surfaces Applied Physical Letters,1998,73(10):1346-1348.
    [122] Kang Chang Seok, Cho Hag-Ju, Choi Rino, et al., The electrical and materialcharacterization of hafnium oxynitride gate dielectrics with TaN-gate electrode. IEEETransaction on Electron Devices,2004,51(2):220-227.
    [123] Lai C. H., Chin A., Cheng B. F., et al., A novel program-erasable high-κ AlN-Si MIScapacitor. IEEE Electron Device Letter,2005,26(3):148-150.
    [124] Chakraborty P., Mahato S. S., Maiti T. K., et al., Performance improvement of flashmemory using AlN as charge-trapping Layer. Microelectronic Engineering,2009,86(3):299-302.
    [125] Albert Chin, Lai C. H., Hung B. F., et al., A novel program-erasable high-k AlNcapacitor with memory function, in Proceedings Non-Volatile Memory TechnologySymposium, NVMTS.2004:18-23.
    [126] Lin S. H., Yang H. J., Chen W. B., et al., Improving the Retention and EnduranceCharacteristics of Charge-Trapping Memory by Using Double Quantum Barriers.IEEE Transaction on Electron Devices,2008,55(7):1708-1713.
    [127] Brown W. D., Brewer J. E., Nonvolatile Semiconductor Memory Technology. NJ:IEEE Piscataway1998. Chap.1.
    [128] Eitan B., Pavan P., Bloom I., et al., NROM: A novel localized trapping,2-bitnonvolatile memory cell. IEEE Electron Device Letter,2000,21(11):543-545.
    [129] Wu Kuo-Hong, Chien Hua-Ching, Chan Chih-Chiang, et al., SONOS device withtapered bandgap nitride layer. IEEE Transaction on Electron Devices,2005,52(5):987-992.
    [130] Chien Hua-Ching, Kao Chin-Hsing, Chang Jui-Wen, et al, Two-bit SONOS TypeFlash Using a Band Engineering in the Ni-tride Layer. Microelectronic Engineering,2005,80:256-259.
    [131] Konstantin K. Likharev, Layered tunnel barriers for nonvolatile memory devices.Applied Physical Letters,1998,73(15):2137-2139.
    [132] Li M., Zhang Z., Campbell S. A., et al., Electrical and material characterizations ofhigh-permittivity HfxTi1-xO2gate insulators. Journal of Applied Physics,2005,98(5):054506-054513.
    [133] Afanas'ev V. V., Stesmans A., Zhao C., et al., Band alignment between (100) Si andHf-based complex metal oxides. Microelectronic Engineering,2005,80(17):102-105.
    [134] Triyoso D. H., Hegde R. I., Zollner S., et al., Impact of titanium addition on filmcharacteristics of HfO2gate dielectrics deposited by atomic layer deposition. Journalof Applied Physics,2005,98(5):054104-054111.
    [135] afanas'ev V. V., Stesmans A., Chen F., et al., Electrical conduction and band offsets inSi/HfxTi1-xO2/metal structures. Journal of Applied Physics,2004,95(12):7936-7939.
    [136] Yang H. J., Cheng C. F., Chen W. B., et al., Comparison of MONOS Memory DeviceIntegrity When Using Hf1-x-yNxOyTrapping Layers With Different N Compositions.IEEE Transaction on Electron Devices,2008,55(6):1417-1423.
    [137] Paskaleva A., Bauer A. J., Lemberge M., An asymmetry of conduction mechanismsand charge trapping in thin high-k HfxTiySizO films. Journal of Applied Physics,2005,98(5):053707-053714.
    [138] Fulton C. C., Lucovshy G., and Nemanich R. J., Process-dependent band structurechanges of transition-metal (Ti, Zr, Hf) oxides on Si (100). Applied Physical Letters,2003,84(4):580-582.
    [139] Fang Q., Zhang J. Y., Wang Z. M., et al., Investigation of TiO2-doped HfO2thin filmsdeposited by photo-CVD. Thin Solid Films,2003,428(1-2):263-268.
    [140] Liu M., Fang M., Wang X. J., et al., Interfacial, optical properties and band offsets ofHfTiON thin films with different nitrogen concentrations. Journal of Applied Physics,2011,110(2):024110-024114.
    [141] Wang Yu, White Marvin H., A analytical retention model for SONOS nonvolatilememory devices in the excess electron state. Solid-State Electronics,2005,49(1):97-107.
    [142] Roy A., White M. H., Determination of the trapped charge distribution in scaledsilicon nitride MONOS nonvolatile memory devices by tunneling spectroscopy.Solid-State Electronics,1991,34(10):1083-1089.
    [143] Lundkvist L., Lundstrom I., Svensson C., Discharge of MNOS structures. Solid-StateElectronics,1973,16(7):811-823.
    [144] Hu Y., White M. H., Charge retention of scaled SONOS nonvolatile memory devicesat elevated temperatures. Solid-State Electronics,2000,44(6):949-958.
    [145] McWhorter P. J., Miller S. L., Dellin T. A., Modeling the memory retentioncharacteristics of silicon-nitride-oxide-silicon nonvolatile transistoes in a varyingthermal environment. Journal of Applied Physics,1990,68(4):1902-1909.
    [146] Arreghini A., Akil N., Driussi F., et al., Long term charge retention dynamic ofSONOS cells. Solid-State Electronics,2008,52(9):1460-1466.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700