多核多处理器系统的节能实时调度技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
现实世界的实际需求促进了实时系统的产生和发展,随着电子技术和计算机技术的飞速发展,实时系统的应用范围也在不断扩大。这些领域的发展迫切需要提供性能更强大、操作更灵活、效能比更高的微处理器系统,以满足实时应用越来越复杂化、智能化的需求。
     随着VLSI技术的迅猛发展与应用需求的不断提高,芯片制造商正在推出多核芯片和片上多处理器系统,多核和多处理器平台已经变得更加普遍,受到了嵌入式实时领域越来越多的关注。但是,处理器的高性能会带来高能耗。而能耗是很多嵌入式实时系统首要考虑的因素,特别是无线移动和便携式计算设备。为了满足嵌入式实时应用的进一步发展,节能实时调度技术研究已经成为当前学术和工业界共同关注的前沿领域和热门课题之一,并且随着“绿色计算”需求的提出,变得越来越重要。
     本文针对多核/多处理器实时系统中的能耗问题,由多处理器系统到多核处理器系统,由偶发任务模型到一般任务模型,由理想DVFS处理器模型到实际DVFS处理器多维限制模型,从以下四个方面展开研究:
     1.本文提出一种多处理器系统中偶发任务节能实时调度算法。从多处理器偶发任务在线节能实时调度问题出发,提出了基于偶发任务最优实时调度LRE-TL的多处理器在线节能调度算法,通过LRE-TL与独立DVFS和DPM技术相结合,根据偶发任务动态释放所导致负载不断变化的情况,在每个TL面的初始时刻和偶发任务释放时刻对所有活跃任务实现在线动态电压和频率调节。算法不仅可以保证偶发任务集的最优可调度性,而且实验结果表明其始终优于已有算法,尤其在在高负载情况下能耗节余达到30%。
     2.本文提出多核系统中偶发任务在线节能实时调度算法。从偶发任务动态释放问题出发,提出了一种基于TL流调度的多核在线节能实时调度算法TL-DVFS,利用LRE-TL算法实现任务调度,在每个TL面的初始时刻、偶发任务的释放时刻进行动态电压频率调节,能较好适应因偶发任务的动态释放而产生的动态负载变化。经过系统的数学分析和仿真实验,结果表明TL-DVFS算法与现有方法相比,不仅保证了偶发任务集的最优可调度性,而且实现了更多的能耗节余,尤其在高负载情况下节能效果更明显。通过考虑任务实际执行时间往往小于最坏情况执行时间的情况,进一步提出了一种基于动态松弛时间回收的节能实时调度算法DSREM,在每个TL面的提前完成时刻实现动态松弛时间回收,降低后续偶发任务的执行频率,实现更多的节能。实验结果表明,DSREM算法在任务集总负载超过某一个值之后,其节能效果始终优于现有方法,尤其随着总负载的增加,节能效果会更好。
     3.本文提出一种基于Global EDF的多核系统一般任务在线节能实时调度算法。针对一般任务模型,提出了一种不基于先验知识,在同构多核系统中基于GlobalEDF在线节能硬实时调度算法GEDF-OLEASA,通过引入速度调节因子,利用松弛时间,结合动态功耗管理和动态电压/频率调节技术,降低多核系统中任务的执行速度,达到实时约束与能耗节余之间的合理折中。实验结果表明,该算法适用于不同类型的片上动态电压/频率调节技术,GEDF-OLEASA算法始终比GlobalEDF算法更节能,最多可节能15~20%,最少可节能5~10%。
     4.本文提出一种开销敏感的最优节能实时调度算法。为解决原有最优算法在实际开销不可忽视条件下不再具有最优性问题,提出开销敏感的基于帧任务多处理器最优节能实时调度算法。该算法根据关键速度来判断系统负载情况,确定具有最低能耗值的活跃处理器个数,然后根据状态切换开销来确定最优调度序列。该算法允许实时任务在任意处理器之间迁移,计算复杂度小,易于在实时操作系统中实现。系统的数学分析证明了该算法的最优性。
     本文通过对多处理器系统偶发任务模型、多核系统偶发任务模型、一般任务模型及实际处理器开销模型的节能实时调度技术研究,对多核/多处理器实时系统的节能设计实现进行了有益的探索。本文的理论分析、仿真实验和评价结果表明,上述节能实时调度技术是有效的,能够有效解决多核/多处理器实时系统的能耗问题。
The actual needs of the real world promote the emergence and development ofreal-time systems. Meanwhile, with the rapid development of electronic and computertechnology, the application scope of real-time systems is also expanding. To meet theincreasingly complex and intellectual requirement for real-time systems, it needs toprovide more powerful, more flexible and higher cost-efficiency microprocessorsystems.
     With the rapid development of VLSI technology and the great increase ofapplication requirements, the multi-core chip and multi-processors on chip architecturedomain modern commercial processors. They have attracted more and more attention ofembedded real-time systems. However, the energy consumption of multi-core systemsbecomes increasingly prominent. Energy consumption is one of the most importantfactors considered by many embedded real-time systems, especially wireless andportable devices. Energy-efficient real-time scheduling research become one of the frontdomains and popular topics and attracts more and more attention of both academic andindustrial world. Furthermore, it becomes increasingly important with the proposal of"green computation".
     To solve the problem of energy consumption in real-time multi-core andmultiprocessor systems, this paper introduces energy-efficient technologies into thedesign of real-time scheduling algorithms, putting forward some proposals forenergy-efficient real-time scheduling. We start the research in terms the processormodel from multiprocessor systems to multi-core systems, the task model from sporadictasks to general tasks, the DVFS processor model from ideal to practicalmultidimensional limitation.
     1. We put forward an energy-efficient real-time scheduling algorithm for sporadictasks in multiprocessor platforms. The proposed method is based on LRE-TL which isan optimal real-time scheduling algorithm for sporadic tasks. With the schedulingalgorithm, LRE-TL, and the energy-efficient technology, independent DVFS and DPM,the voltage and frequency of active tasks is dynamically scaled at the initial time of eachTL plane and the release time of a sporadic task in each TL plane. Our proposalguarantees the optimal feasibility of sporadic tasks. Furthermore, the experimentalresults show that compared with existing algorithms, more energy savings can beachieved in all cases, especially up to30%in the case of high workloads.
     2. We propose to overcome the energy consumption problem of multi-corearchitecture for sporadic tasks, including two key technologies: TL-DVFS (Time Localremaining execution plane based Dynamic Voltage Frequency Scaling) and DSREM(Dynamic Slack Reclamation based Energy-efficient Multi-core real-time scheduling). TL-DVFS is an online real-time energy-efficient scheduling algorithm based on TLplane and adopts LRE-TL to perform real-time task scheduling. The voltage andfrequency are dynamically scaled at the initial time of each TL plane and the releasetime of a sporadic task in each TL plane, which is adaptive to the dynamic workload ofsporadic tasks and obtain more energy savings. Systematic mathematical analysis andextensive simulation results show that TL-DVFS can not only guarantee the optimalfeasibility of sporadic tasks, but also achieve more energy savings in all cases,especially in the case of high workloads. In addition, DSREM is proposed afterquantifying the characteristics that the actual execution time of tasks is usually less thantheir Worst-Case Execution Time (WCET) producing lots of dynamic slack time. Themain idea of the algorithm is to reclaim dynamic slack time, during which DVFStechniques can be used to reduce the execution frequency of future tasks to reduceenergy consumption. Experimental results show that DSREM can always save moreenergy than existing algorithms when the total workload of the system exceeds athreshold, and at the same time guarantees optimal schedulability. The performance willbe improved when the total workload is increased.
     3. This paper develops a Global EDF-based OnLine Energy-Aware SchedulingAlgorithm (GEDF-OLEASA) for hard real-time tasks in multi-core system.GEDF-OLEASA is based on the general task model and with no priori to tasks’properties. It can reduce the execution speed of task in multi-core system, and reach areasonable compromise between real-time constraints and energy savings, as itintroduces a speed scale factor for utilizing the slack time, and combines dynamicpower management with dynamic voltage/frequency scaling techniques. The resultsshow that the algorithm can be well applied to different kinds of dynamicvoltage/frequency scaling on chip, and compared with Global EDF algorithm, it gainmore energy savings in all cases, which can improve energy savings about15%to20%at most and about5%to10%at least.
     4. We propose an optimal energy-efficient real-time scheduling algorithm which isoverhead-aware. Recent optimal energy-efficient real-time scheduling ignores the timeand energy overhead of processor state switching. Thus it is no more optimal in actualplatforms. For multiprocessors with independent dynamic voltage frequency anddynamic power management, we propose an optimal energy-efficient real-timescheduling algorithm for frame-based tasks. The proposed optimal algorithm determinesthe system workload cases and the number of active processor cores in tems of criticalspeed. Then we can obtain the optimal scheduling according to the switching overhead.The algorithm allows tasks to arbitrarily migrate across processors during theirexecution at the cost of small computational complexity. Furthermore, it is easy to beimplemented. Systematic mathematical analysis shows that the algorithm is optimal.
     In this paper, we carried on the research from energy-efficient real-time scheduling techniques for sporadic task model in multiprocessor system, sporadic task model inmulti-core system, general task model, and practical processor overhead model. Themathematical analysis, simulation experiments and evaluation results of this paper showthat these energy-efficient real-time scheduling techniques are effective, can be used tosolve energy consumption problem of multi-core and multiprocessor real-time systems.
引文
[1] C.M. Krishna and Kang G. Shin. Real-time Systems[M]. McGraw-Hill,1997
    [2]谢向辉,胡苏太,李宏亮.多核处理器及其对系统结构设计的影响[J].计算机科学与探索.2008,2(6):641~650.
    [3] G. J. M. Smit, A. B. J. Kokkeler, P. T. Wolkotte, et al. Multi-core Architecturesand Streaming Applications [C]//Proceedings of the International Workshop onSystem Level Interconnect Prediction, New York: ACM press,2008:35~42.
    [4] J. C. Chu, W. C. Ku, S. H. Chou, T. F. Chen, J. I. Guo. An Embedded Coherent-multithreading Multimedia Processor and its Programming Model [C]//Proceed-ings of Design Automation Conference, New York: ACM press,2007:652~657.
    [5] S. Moch, M. Berekovic, H. J. Stolberg, et al. HIBRID-SOC: a Multi-coreArchitecture for Image and Video Applications [J]. ACM SIGARCH ComputerArchitecture News,2004,32(3):55~61.
    [6] T. Saidani, S. Piskorski, L. Lacassagne, S. Bouaziz. Parallelization Schemes forMemory Optimization on the Cell Processor: a Case Study of Image ProcessingAlgorithm [C]//Proceedings of the Workshop on MEmory performance: DEalingwith Applications, New York: ACM press,2007:9~16.
    [7] R. I. Davis and A. Burns. A Survey of Hard Real-Time Scheduling Algorithmsand Schedulability Analysis Techniques for Multiprocessor Systems[R].University of York, Department of Computer Science Technical Report,YCS-2009-443, November2009.
    [8] K. Hirata and J. Goodacre. Arm Mpcore: the Streamlined and Scalable Arm11Processor Core[C]//Design Automation Conference,2007. ASP-DAC’07. Asiaand South Pacific,2007:747~748.
    [9] D.C. Pham, et al. Overview of the Architecture, Circuit Design, and PhysicalImplementation of a First-Generation Cell Processor[J]. IEEE Journal ofSolid-State Circuits.2006,41(l):179~196.
    [10] Intel Corperation[EB/OL]. http://www.intel.com
    [11] V. Venkatachalam and M. Franz. Power Reduction Techniques forMicroprocessor Systems[J]. ACM Computing Surveys,2005,37(3):195~237.
    [12] R. Teodorescu, J. Torrellas. Variation-Aware Application Scheduling and PowerManagement for Chip Multiprocessors [C]//Proceedings of35th InternationalSymposium on Computer Architecture, New York: ACM press,2008:363~374.
    [13] C. Lee, J. K. Lee, T. Hwang. Compiler Optimization on VLIW InstructionScheduling for Low Power [J]. ACM Transactions on Design Automation ofElectronic Systems,2003,8(2):252~268.
    [14] J. Pangjun, S.S. Sapatnekar. Low-Power Clock Distribution Using MultipleVoltages and Reduced Swings [J]. IEEE Transactions on very Large ScaleIntegration (VLSI) Systems,2002,10(3):309~318.
    [15] J. Oliver, R. Rao, D. Franklin. Synchroscalar: Evaluation of an Embedded,Multi-core Architecture for Media Applications [J]. Journal of EmbeddedComputing,2006,2(2):157~166.
    [16] J. Pouwelse, K. Langendoen and H. Sips. Dynamic Voltage Scaling on aLow-Power Microprocessor [C]//In Proc.7th International Conference on MobileComputing and Networking (MOBICOM),2001.
    [17] A. Mahesri, V. Vardhan. Power Consumption Breakdown on a Modern Laptop[J].In: Power-Aware Computer Systems,2004:165~180.
    [18]易会战.低功耗技术研究—体系结构和编译优化[D].长沙:国防科学技术大学,2006.
    [19] S. Rele, S. Pande, S. Onder et a1. Optimizing Static Power Dissipation byFunctional Units in Superscalar Processors[C]//Lecture Notes in ComputerScience2304,2002:85~100.
    [20] A. Chandrakasan, S. Sheng, R. Brodersen. Low-power CMOS Digital Design[J].IEEE Journal of Solid-State Circuit,1992,27(4):473~484.
    [21] W. Kim, M. S. Gupta, G.Y. Wei and D. Brooks. System Level Analysis of Fast,Per-Core DVFS using On-Chip Switching Regulators[C]//HPCA2008.
    [22] P. Pillai, K.G. Shin. Real-Time Dynamic Voltage Scaling for Low-PowerEmbedded Operating Systems[C]//In: Proc.18th ACM Symp. Operating Systems(SOSP2001),2001:89~102.
    [23]雷霆.基于动态电压调整的实时节能调度方法研究[D].合肥:中国科学技术大学.2005.
    [24] G. Sery, S. Borkar, V. De. Life Is CMOS: Why Chase the Life After?[C]//In:DAC’02: Proceedings of the39th annual Design Automation Conference, pp.78–83. ACM, New York, NY, USA (2002).
    [25]林闯,田源,姚敏.绿色网络和绿色评价:节能机制、模型和评价[J].计算机学报,2011,34(4):593~612.
    [26] T.D. Burd and R.W.Brodersen. Design Issues for Dynamic Voltage Scaling.[C]//In Proc.of the2000International Symposium on Low Power Electronics andDesign,IEEE,2000:9~14.
    [27] J. Dorsey et al. An integrated Quad-Core Opteron Processor[C]//In Proc. of IEEEIntl. Solid State Circuits Conference,2007.
    [28] A. Naveh et al. Power and Thermal Management in the Intel Core DuoProcessor[J]. Intel technology Journal, Vol.10, Issue02, May2006.
    [29] R. Kumar and G. Hinton. A Family of45nm IA Processors[C]//Proc. of the Intl.Solid-State Circuits Conference,2009.
    [30] L. Mosley. Power Delivery Challenges for Multicore Processors[C]//In Proc. ofCARTS USA,2008.
    [31] R. McGowen, C.A. Poirier, C. Bostak, J. Ignowski, M. Millican, W.H. Parks, andS. Naffziger. Power and Temperature Control on a90-nm Itanium FamilyProcessor[J]. In Journal of Solid-State Circuits,2006.
    [32] H.Y. McCreary, M.A. Broyles, M.S. Floyd, A.J. Geissler, S.P. Hartman, F.L.Rawson, T.J. Rosedahl, J.C. Rubio, M.S. Ware. EnergyScale for IBM POWER6Microprocessor Based Systems[J]. In IBM Journal of Research and Development,vol21, no.6,2007.
    [33] INTEL-ITANIUM[EB/OL].http://www.intel.com/products/processor/itanium/
    [34]黄江伟. DVS技术支持的移动嵌入式系统软件低功耗计算研究[D].杭州:浙江大学,2009.
    [35] SA-1100Microprocessor Technical Reference Manual [R]. Intel,1998.
    [36] Y.C. Lu, T.E. Simunic, L. Beninil, and G. Micheli. Quantitative Comparison ofPower Management Algorithms [C]//Proceedings of Design Automation and Testin Europe, Mar2000.
    [37] D. Mosse, H.Aydin, B. Childers,et al. Compiler-Assisted Dynamic Power-AwareScheduling for Real-Time Applications [C]//In Proc. of Workshop on Compilersand Operating Systems for Low-Power (COLP2000), Philadelphia, PA,2000.
    [38] D. Shin, J. Kim, S. Lee. Intra-Task Voltage Scheduling for Low-Energy HardReal-Time Applications [C]//IEEE Design&Test of Computers,2001a,18(2):20~30.
    [39] F. Gruian. Hard Real-Time Scheduling for Low-Energy Using Stochastic Dataand DVS Processors [C]//In Proc. of the International Symposium on Low-PowerElectronics and Design ISLPED'01, Aug.2001. Huntington Beach, CA: ACMPress,2001:46~51.
    [40] N. Aboughazaleh, DanielMossé, B. R. Childers, et al. Collaborative OperatingSystem and Compiler Power Management for Real-Time Applications [C]//InProc. of the9th IEEE Real-Time and Embedded Technology and ApplicationsSymposium (RTAS2003), Toronto, Canada: IEEE CS,2003.133.
    [41]易会战,陈娟,杨学军,刘喆.基于语法树的实时动态电压调节低功耗算法[J].软件学报,2005,16(10):1726~1734.
    [42] ACPI[EB/OL],2011. http://www.acpi.info
    [43] M. Fleischmann.LongRun Power Management: Dynamic Power Management forCrusoe Processors [R]. Tech Report. Transmeta Corporation, January17,2001.
    [44] I. W. Paper. Enhanced Intel SpeedStep Technology for the Intel Pentium MProcessor[R]. Tech Report. Order Number:301170-001. March2004.
    [45] Amd. AMD PowerNow! Technology[R]. Tech Report. AMD Corporation,2002.
    [46] C.L. Liu and J.W. Layland. Scheduling Algorithms for Multiprogramming for aHard Real-Time Environment [J]. Journal of ACM,1973,20(1):46~61.
    [47]王志英.多核异步数据触发微处理器设计关键技术研究[R]. Technical Report.Computer College of NUDT.2008,3.
    [48] S.V. Kosonocky, M. Irnmediato, P. Cottrell, T. Hook, R. Mann, J. Brown.Enhanced Multi-Threshold (MTCMOS) Circuits Using Variable Well Bias[C]//International Symposium on Low Power Electronics and Design,2001:165~169.
    [49] T. Inukai, T. Hiramoto, T. Sakurai. Variable Threshold Voltage CMOS(VTCMOS) in Series Connected Circuits [C]//International Symposium on LowPower Electronics and Design,2001:201~206.
    [50] M. Powell, S.H. Yang, et al. Gated-Vdd: a Circuit Technique to Reduce Leakagein Deep-submicron Cache Memories [C]//Proceedings of the InternationalSymposium on Low Power Electronics and Design(ISLPED), New York: ACMPress,2000:90~95.
    [51] M.B. Josephs, S.M. Nowick, C.H. Van Berkel. Modeling and Design ofAsynchronous Circuits [J]. Proceedings of the IEEE,1999,87(2):234~242.
    [52] C.L. Liu. Scheduling Algorithms for Multiprocessors in a Hard Real-TimeEnvironment[J]. JPL Space Programs Summary,1969,37~60:28~31,.
    [53] K. Dhall, C. L. Liu. On a Real-Time Scheduling Problem. OperationsResearch[J].1978,26(1):127~140.
    [54] C.A. Phillips, C. Stein, E. Torng, J. Wein. Optimal Time-Critical Scheduling ViaResource Augmentation [C]//In Proceedings of the ACM Symposium on theoryof Computing,1997.
    [55]李仁发,刘彦,徐成.多处理器片上系统任务调度研究进展评述[J].计算机研究与发展.2008,45(9):1620~1629.
    [56] W.A. Horn. Some Simple Scheduling Algorithms[J]. Naval Research LogisticsQuarterly211974:177~185,.
    [57] K. Hong, J. Leung. On-line Scheduling of Real-Time Tasks [C]//In Proceedingsof the Real-Time Systems Symposium,1988:244~250.
    [58] K.S. Hong, J.Y.-T Leung. On-line Scheduling of Real-Time Tasks[J]. IEEETransactions on Computers,1992,41:1326~1331.
    [59] M.L. Dertouzos, A.K. Mok. Multiprocessor Scheduling in a Hard Real-TimeEnvironment [J]. IEEE Transactions on Software Engineering,1989,15(12):1497~1506.
    [60] N. Fisher. The Multiprocessor Real-Time Scheduling of General TaskSystems[D]. Ph.D. thesis, Department of Computer Science, The University ofNorth Carolina at Chapel Hill,2007.
    [61] S.K. Baruah, N. Cohen, G. Plaxton, D. Varvel. Proportionate Progress: a Notionof Fairness in Resource Allocation [J]. Algorithmica,1996,15(6):600~625.
    [62] S.K. Baruah, J. Gehrke, C. G. Plaxton. Fast Scheduling of Periodic Tasks onMultiple Resources [C]//In Proceedings of The International Parallel ProcessingSymposium, April.1995.
    [63] J. Anderson, A. Srinivasan. Mixed Pfair/Erfair Scheduling of AsynchronousPeriodic Tasks [C]//In Proceedings of the13th Euromicro Conference onReal-Time Systems, June2001.
    [64] J. Anderson, A. Srinivasan. Early-Release Fair Scheduling [C]//In ProceedingsEuromicro Conference on Real-Time Systems, June2000.
    [65] D. Zhu, D. Mossé, R.G. Melhem. Multiple-Resource Periodic SchedulingProblem: How Much Fairness is Necessary?[C]//In proceedings of the RealTime Systems Symposium,2003:142~151.
    [66] A. Khemka, R. K. Shyamasundar. An Optimal Multiprocessor Real-TimeScheduling Algorithm [J]. Journal of Parallel and Distributed Computing,1997,43(1):37~45.
    [67] M. Garey, D. Johnson. Computers and Intractability: a Guide to the Theory ofNP-Completeness[M]. W. H. Freeman and company, NY,1979.
    [68] T. Rothvoss. On the Computational Complexity of Periodic Scheduling[D]. Ph.D.Thesis, Ecole Polytechnique Federale de Lausanne,2009.
    [69] G. Manimaran, C. Siva Ram Murthy, and K. Ramamritham. A New Approachfor Scheduling of Parallelizable Tasks in Real-Time Multiprocessor Systems[J].Real-Time Systems,1998,15:39~60.
    [70] S. Kato, Y. Ishikawa. Gang EDF Scheduling of Parallel Task Systems [C]//Proc.The30th IEEE Real-Time Systems Symposium.2009.
    [71] O.H. Kwon and K.Y. Chwa. Scheduling Parallel Tasks with Individual Deadlines[J]. Theoretical Computer Science,1999,215(1):209~223.
    [72] W.Y. Lee and H. Lee. Optimal Scheduling for Real-Time Parallel Tasks[J].IEICE Transactions on Information and Systems,2006, E89-D(6):1962~1966.
    [73] S. Collette, L. Cucu, and J. Goossens. Integrating Job Parallelism in Real-TimeScheduling Theory. Information Processing Letters [J].2008,106:180~187.
    [74] K. Lakshmanan, R. Rajkumar and J.P. Lehoczky. Partitioned Fixed-PriorityPreemptive Scheduling for Multi-Core Processors [C]//Proceedings of the21stEuromicro Conference on Real-Time Systems (ECRTS), July2009.
    [75] S. Kato, R. Rajkumar, and Y. Ishikawa. A Loadable Real-Time Scheduler Suitefor Multicore Platforms[D]. Technical Report CMU-ECE-TR09-12, December,2009.
    [76] National Natural Science Foundation of China[EB/OL]. http://www.nsfc.gov.cn.2011-11-05
    [77] F. Yao, A. Demers, S. Shenker. A Scheduling Model for Reduced CPU Energy[C]//Proc of the36th Symp on Foundations of Computer Science.Lo s Alamitos:IEEE Computer Society Press,1995:374~382.
    [78] C.M. Krishna,Y.H. Lee. Voltage Clock Scaling Adaptive Scheduling Techniquesor Low Power in Hard Real-Time Systems [C]//The6th IEEE Real-TimeTechnology and Applications Symp,Toronto,2000.
    [79] J. Liu, P. H. Chou, et a1. Power-Aware Scheduling under Timing Constraints forMission Critical Embedded Systems [C]//Design Automation Conf, Las Vegas,2001.
    [80] C.H. Lee and K.G. Shin. On-Line Dynamic Voltage Scaling for Hard Real-TimeSystems Using the EDF Algorithm [C]//In Proc. of the25th IEEE Real-TimeSystems Symposium,2004:319~335.
    [81] H. Aydin and Q. Yang. Energy-Aware Partitioning for Multiprocessor Real-TimeSystems [C]//In Proc. of the17th IEEE International Parallel and DistributedProcessing Symposium,2003:22~26.
    [82] D. Zhu, R. Melhem and B. R. Childers. Scheduling with DynamicVoltage/Frequency Adjustment Using Slack Reclamation in MultiprocessorReal-Time Systems [J]. IEEE Transactions on Parallel and Distributed Systems,2003,14(7):686~699.
    [83] J.J. Chen, H.R. Hsu, and T.W. Kuo. Leakage-Aware Energy Efficient Schedulingof Real-Time Tasks in Multiprocessor Systems [C]//In IEEE Real-time andEmbedded Technology and Applications Symposium,2006:408~417.
    [84] Vincent Nelis, Jo¨el Goossens. MORA an Energy-Aware Slack ReclamationScheme for Scheduling Sporadic Real-Time Tasks upon MultiprocessorPlatforms [C]//In: Proc.15th IEEE International Conference on Embedded andReal-Time Computing Systems and Applications,2009:210~215.
    [85] T. P. Baker. An Analysis of EDF Schedulability on a Multiprocessor [J]. IEEETransactions on Parallel and Distributed Systems,2005,16(8):760~768.
    [86] K. Funaoka, S. Kato and N. Yamasaki. Energy-Efficient Optimal Real-TimeScheduling on Multiprocessors [C]//The11th IEEE Symposium on ObjectOriented Real-Time Distributed Computing (ISORC),2008:23~30.
    [87] H. Cho, B. Ravindran, and E.D. Jensen. An Optimal Realtime SchedulingAlgorithm for Multiprocessors [C]//In Proceedings the27th IEEE Real-TimeSystem Symposium (RTSS), Los Alamitos, CA,2006:101~110.
    [88] C. Yang, J.J. Chen, T. Luo. An Approximation Algorithm for Energy-EfficientScheduling on a Chip Multiprocessor [C]//In: Proc. Design, Automation and Testin Europe Conf. and Exhibition,2005:468~473.
    [89] D. Bautista, J. Sahuquillo, H. Hassan, S. Petit, J. Duato. A Simple Power-AwareScheduling for Multicore Systems when Running Real-Time Applications [C]//InProc.22nd IEEE/ACM International Parallel and Distributed ProcessingSymposium,2008:1~7.
    [90] X. Huang, K. Li, and R. Li. A Energy Efficient Scheduling Base on DynamicVoltage and Frequency Scaling for Multi-Core Embedded Real-Time System[C]//ICA3PP2009, LNCS5574,Taipei, Taiwan,2009:137~145.
    [91] V. Devadas and H. Aydin. Coordinated Power Management of Periodic real-timetasks on chip multiprocessors [C]//GREENCOMP '10Proceedings of theInternational Green Computing Conference, Chicago, USA,2010:61~72.
    [92] E. Seo, J. Jeong, S. Park, and J. Lee. Energy Efficient Scheduling of Real-TimeTasks on Multicore Processors [J]. In IEEE Trans. on Parallel and DistributedSystems,2008,19(11):1540~1552.
    [93] J.J. Chen and C.F. Kuo. Energy-Efficient Scheduling for Real-Time Systems onDynamic Voltage Scaling (DVS) Platforms [C]//RTCSA2007:28~38.
    [94] H. Aydin, R.Melhem, D.Mosse. Determining Optimal Processor Speeds forPeriodic Real-Time Tasks with Different Power Characteristics [C]//InProceedings of the13th Euromicro Conference on Real-time Systems,2001:225~232.
    [95] T. AlEnawy and H. Aydin. Energy-Aware Task Allocation for Rate MonotonicScheduling [C]//In Proceedings of the11th IEEE Real Time on EmbeddedTechnology and Applications Symposium, pages213~223, Washington, DC,USA,2005.
    [96] J.J. Chen, C.Y. Yang, and T.W. Kuo. Slack Reclamation for Real-Time TaskScheduling over Dynamic Voltage Scaling Multiprocessors [C]//In IEEEInternational Conference on Sensor Networks, Ubiquitous, and TrustworthyComputing,2006:358~367.
    [97] Hong, M. Potkonjak and M.B. Srivastava. On-Line Scheduling of HardReal-Time Tasks on Variable Voltage Processor [C]//In Proc. of ICCAD’98,1998:653~656.
    [98] J.J. Chen. Energy-Efficient Scheduling for Real-Time Tasks in Uniprocessor andHomogeneous Multiprocessor Systems[D]. Ph.D. Dissertation. National TaiwanUniversity.2006.
    [99] J.J. Chen, H.R. Hsu, K.H. Chuang, C.L. Yang, A.C. Pang and T.W. Kuo.Multiprocessor Energy-Efficient Scheduling with Task Migration Considerations[C]//Proc.16th Euromicro Conf. Real-Time Systems (ECRTS’04),2004:101~108.
    [100] R. Jejurikar, C. Pereira, and R. Gupta. Leakage Aware Dynamic Voltage Scalingfor Real-Time Embedded Systems [C]//In Proceedings of the Design AutomationConference,2004:275~280.
    [101] B.C. Mochocki, X.S. Hu and G. Quan. A Unified Approach to Variable VoltageScheduling for Nonideal DVS Processors[J]. IEEE transactions oncomputer-aided design of integrated circuits and systems,2004,22(9):1370~1377.
    [102] B.C. Mochocki, X.S. Hu and G. Quan. Transition Overhead Aware VoltageScheduling for Fixed-Priority Real-Time Systems[J]. Transactions on DesignAutomation of Electronic Systems.2007.
    [103] D.R. Chen, C.C. Hsu, Y.S. Chen, C.J. Kuo, L.C. Chen. Transition-Aware DVSAlgorithm for Real-time Systems Using Tree Structure Analysis[J]. Journal ofSystems Architecture,2010,(56):352~367.
    [104] R. Xu, D. Zhu, C. Rusu, R. Melhem, and D. Mossé. Energy-Efficient Policies forEmbedded Clusters [C]//In ACM SIGPLAN/SIGBED Conference on Languages,Compilers, and Tools for Embedded Systems (LCTES),2005:1~10.
    [105] P. J. de Langen and B. H. H. Juurlink. Leakage-Aware MultiprocessorScheduling for Low Power [C]//In IPDPS,2006.
    [106] J.J. Chen and L. Thiele. Energy-Efficient Scheduling on HomogeneousMultiprocessor Platforms [C]//SAC2010.2010:542~549.
    [107] H. Huang, F. Xia, J.Wang, S.Lei, and G. Wu. Leakage-Aware Reallocation forPeriodic Real-Time Tasks on Multicore Processors [C]//2009.
    [108] K. Huang, J.J. Chen, L. Thiele. Energy-Efficient Scheduling Algorithms forPeriodic Power Management for Real-Time Event Streams [C]//17th IEEEInternational Conference on Embedded and Real-Time Computing Systems andApplications (RTCSA), Toyama, Japan,2011.
    [109] K. Huang, L. Santinelli, J.J. Chen, L. Thiele, G. C. Buttazzo. Adaptive DynamicPower Management for Hard Real-Time Systems [C]//in IEEE Real-TimeSystems Symposium (RTSS), Washington, D.C., USA,2009.
    [110] C.Y. Yang, J.J. Chen, L. Thiele, and T.W. Kuo. Energy-Efficient Real-TimeTask Scheduling with Temperature-Dependent Leakage [C]//in the ACM/IEEEConference of Design, Automation, and Test in Europe (DATE), Dresden,Germany,2010.
    [111] X. Qi, D. Zhu, and H. Aydin. Global Scheduling Based Reliability-Aware PowerManagement for Multiprocessor Real-Time Systems [J]. Journal of Real-TimeSystems,2011,47(2):109~142.
    [112] Y. Guo, D. Zhu, and H. Aydin. Reliability-Aware Power Management forParallel Real-Time Applications with Precedence Constraints [C]//Proceedings ofthe Second IEEE International Green Computing Conference (IGCC'11),Orlando, FL, July2011.
    [113] X. Qi, D. Zhu, and H. Aydin. Global Reliability-Aware Power Management forMultiprocessor Real-Time Systems [C]//Proceedings of the16th IEEEInternational Conference on Embedded and Real-Time Computing Systems andApplications (RTCSA'10), Macau SAR, China, August2010.
    [114] K. Rzadca, F. Seredynski. Heterogeneous multiprocessor scheduling withdifferential evolution [C]//Proc of the2005IEEE Congress on EvolutionaryComputation Piscataway,NJ:IEEE Press,2005,3:2840~2847.
    [115] S. Funk and V. Nadadur. LRE-TL: An Optimal Multiprocessor Algorithm forSporadic Task Sets [C]//Conference on Real-Time and Network Systems (RTNS),2009.
    [116] D. Zhu. Reliability-Aware Dynamic Energy Management in DependableEmbedded Real-Time Systems [C]//In IEEE Real-time and EmbeddedTechnology and Applications Symposium,2006:397~407.
    [117] C.Y. Yang, J.J. Chen, T.W. Kuo, and L. Thiele. An Approximation Scheme forEnergy-Efficient Scheduling of Real-Time Tasks in HeterogeneousMultiprocessor Systems [C]//In Proceedings of ACM/IEEE Conference ofDesign, Automation, and Test in Europe (DATE),2009:694~699.
    [118] J.J. Chen and T.W. Kuo. Procrastination Determination for Periodic Real-TimeTasks in Leakage-Aware Dynamic Voltage Scaling Systems [C]//In Pro of theIEEE/ACM international conference on Computer-aided Design,2007:289~294.
    [119] P. Holman and J. Anderson. Adapting Pfair Scheduling for SymmetricMultiprocessors [J]. Journal of Embedded Computing,2005,1(4):543~564.
    [120] INTEL-XSCALE,2003. http://developer.intel.com/design/xscale/
    [121] S. Herbert and D. Marculescu. Analysis of Dynamic Voltage/Frequency Scalingin Chip-Multiprocessors [C]//In Proc. of the Intl. Symp. on Low PowerElectronics and Design (ISLPED), Portland, Oregon, USA,2007:38~43.
    [122] J. Wegener, F. Mueller. A Comparison of Static Analysis and EvolutionaryTesting for the Verification of Timing Constraints[J]. Real-Time Systems,2001,21(3):241~268.
    [123] N. Fisher, J. Goossens, and S. Baruah. Optimal Online MultiprocessorScheduling of Sporadic Real-Time Tasks is Impossible[J]. Real-Time Systems,2010.45(1-2):26~71.
    [124] G. Qu. Power Management of Multicore Multiple Voltage Embedded Systems byTask Scheduling [C]//In Proceedings of the IEEE international conference onParallel Processing Workshops (ICPPW’07).2007.
    [125] R. Dick, D. Rhodes, and W. Andwolf. Tgff: Task Graphs for Free [C]//InProceedings of the IEEE international Workshop Hardware/Software Codesign.IEEE, Los Alamitos, CA,1998:97~101.
    [126] S. Hua, G. Qu, and S. Bhattacharyya. Energy-Efficient Embedded SoftwareImplementation on Multiprocessor System-On-Chip with Multiple Voltages [J].ACM Transaction on Embedded Computing Systems,2006.5(2):321~341.
    [127] T.D. Burd and R.W. Brodersen. Energy Efficient CMOS Microprocessor Design[C]//Proc.28th Hawaii Int’l Conf. System Sciences, Hawaii, USA,1995:288~297.
    [128] R. Ernst and W.Ye. Embedded Program Timing Analysis Based on PathClustering and Architecture Classification [C]//In Computer-Aided Design(ICCAD’97),1997:598~604.
    [129] J. Anderson, S. Baruah. Energy-Efficient Synthesis of Periodic Task Systemsupon Identical Multiprocessor Platforms [C]//In: Proc.24th InternationalConference Distributed Computing Systems,2004:428~435.
    [130] E. Rotem, A. Mendelson, R. Ginosar, U. Weiser. Multiple Clock and VoltageDomains for Chip Multi Processors [C]//In: Pro. the42nd Annual IEEE/ACMInternational Symposium on Microarchitecture,2009:459~468.
    [131] S. Irani, S. Shukla, and R. Gupta. Algorithms for Power Savings [C]//InProceedings of the Fourteenth Annual ACM-SIAM Symposium on DiscreteAlgorithms,2003:37~46.
    [132] N. Bansal, T. Kimbrel, and K. Pruhs. Speed Scaling to Manage Energy andTemperature [J]. Journal of the ACM.2007,54(1).
    [133] R.Xu, D.Mosse, and R.G. Melhem. Minimizing Expected Energy in Real-TimeEmbedded Systems [C]//In Proceedings of the5th ACM international conferenceon Embedded software,2005:251~254.
    [134] T.P. Baker. Multiprocessor EDF and Deadline Monotonic SchedulabilityAnalysis [C]//In Proc.24th IEEE Real-Time Systems Symposium, Cancun,Mexico,2003:120~129.
    [135] E. Bini and G.C. Buttazzo. Measuring the Performance of Schedulability Tests[J].Journal of Real-Time Systems,2005,30(1-2):129~154.
    [136] R. Davis and A. Burns. Priority assignment for global fixed priority pre-emptivescheduling in multiprocessor real-time systems [C]//In Proceedings of IEEEReal-Time Systems Symposium,2009:398~409.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700