基于FPGA的雷达激励器信号发生器的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着频率合成理论和高速大规模集成电路的发展,信号发生器作为一类重要的仪器,在通信、检测、导航等领域有着广泛的应用。就短波通信对抗、雷达对抗及通信系统而言,因为所需要的激励信号形式及其参数各不相同,激励器所产生的信号形式、参数及工作方式等都要根据系统要求实时地进行灵活调整。因此,激励器必须具备信号产生方法灵活、参数变化速度快、信号频谱纯度高,系统稳定可靠等特点。而激励器的信号形式和参数实时可变的特点正体现在实现信号产生器的技术上。本文因此重点研究了FPGA内嵌DDS技术实现信号发生器的方法。
     本文首先论述了频率合成技术的发展,并将直接数字频率合成技术(DDS)与传统的频率合成技术进行了比较。然后深入研究了DDS的工作原理和基本结构,分析了理想情况和实际存在杂散情况下DDS的输出频谱,其中,详细分析了引起输出杂散的三个主要因素,并介绍了抑制DDS杂散的常用方法。
     其次,本文对FPGA技术的发展以及技术特点进行了阐述,随后,对FPGA芯片内嵌DDS技术实现信号发生器进行了方案的设计。此处重点研究了包括流水线加法器,注入抖动技术,ROM压缩算法等优化系统结构的方法。结合了Matlab和FPGA的开发软件Quartus II对方案进行了实现,并分析了设计的结果。
     再次,本文在已经搭建的FPGA内嵌DDS实现信号发生器的平台上,首先分别设计了通信领域的二进制幅移键控,二进制频移键控以及二进制差分相移键控信号,然后对雷达领域的线性调频信号进行了设计,并做了仿真研究和结果分析。
     最后,在开发平台TDS5410-TDK的基础上,对54系列DSP做了软件开发,设计了信号采集,信号发生,FIR,IIR滤波器,以及FFT实验。并做测试和分析。
With the development of frequency synthesis theory and high speed LSI (Large Scale Integration), the signal generator, as an electronic equipment, has been widely applied in many fields such as communication, detection and navigation. Specially, in the field of shortwave communications antagonize and radar antagonize as well as communication system, as different type and parameters, powers need timely and flexibly change their work type to generate the needed signals. So, the powers must can change parameters quickly, safely, and have pure spectrum. Because the traits powers have above are rightly show by the technology of generators, so this paper will mainly research a new method, FPGA with Direct Digital Frequency Synthesizer (DDS) to realize generators.
     Firstly, this paper addressed the development of frequency synthesis theory and made a compare between DDS and traditional methods. Then this paper made a deep research on both of the ideal and nonideal spectrum traits after introducing the principle and basic structure of DDS.
     Secondly, based on the introduction of FPGA, this paper made a detail design of FPGA in-line of DDS, which strongly researched optimized methods such as pipelining adder, dithering measure, the ROM compression methods etc. After which, by the help of the software Matlab and FPGA’s developing software QuartusII, the author made a detail design of DDS system and analyzed the results.
     Further , with the DDS platform built above, the author respectively designed the communication signal BASK, BFSK, and DPSK, as well as LFM signal, then analyzed the results.
     Finally, the author made software development of 54X series DSP by the platform of TDS5410-TDK. During which, the author realized signal sampling, signal generating,FIR filter, IIR filter, and FFT technology. After that, the author also made some test and analysis.
引文
1霍志勇.基于DDS的雷达扫频信号产生系统研究.西安电子科技大学硕士论文. 2005:5~15
    2张冬梅,杨建宇,熊金涛.基于FPGA实现DDS技术的雷达波形产生器的设计.现代电子技术. 2005:103~105
    3陈瑞平,关键,邱军海,李秀友.一种基于FPGA的雷达信号模拟方法.电子技术应用. 2006:954~98
    4 A.Bellaouar, M.S.O’brecht, A.M.Fahim, M.I.Elmasry. Low-power Direct Digital Frequency Synthesis for Wireless Communication. IEEE Journal of Solid-State Circuits. 2000, 35(3):385~390
    5徐惠民,数字逻辑设计与VHDL描述.机械工业出版社, 2004:34~54
    6 F.Cardells, J.Valls. Optimization of Direct Digital Frequencdy Synthesizer Based on Cordic. IEEE Electronics Letters. 2001, 37(21):1278~1280
    7吴曙荣.直接数字频率合成器的设计.西安电子科技大学硕士论文. 2006:100~130
    8 Kuwabara et al. Orthogonal Frequency Division Multiplexing Receiving Device. In US Patent No. US 6,954,421 B2, 2005:38~40
    9 Jean-Luc. Beuchat Some Modular Adders and Multipliers for Field Programmable Gate Arrays. Proceedings of the International Parallel and Distributed Processing Symposium IPDPS’03, Computer society, IEEE 2003:102~105
    10 ETS 300 401, Radio Broadcasting Systems. Digital Audio Broadcasting (DAB) Tomobile, Portable and Fixed Receivers, Second Edition, European Telecommunications Standards Institute, May 1997:58~62
    11 David Ramirez Moran, Javier Gismero Menoyo. Novel Direct Digital Synthesizer Design for OFDM Digital Receivers. Proceedings of the 9th European Conference on Wireless Technology. September 2006:27-30
    12吴曙荣,杨银堂,朱樟明.用FPGA实现DDS的设计.航空计算技术. 2006:1~3
    13高琴,姜寿山,魏忠义.基于FPGA的DDS信号源设计与实现.西安工程科技学院学报. 2006:210~214
    14柱子.基于CORDIC算法的直接频率合成技术的研究和应用.国防科技大学硕士论文. 2005:45~50
    15汪勐,汪宁,宋晓东.使用较少FPGA资源实现DDS的方法.微电子学与计算机. 2006:181~186
    16 M.Loehning, T.Hentsche, G.Fettweis. Digital Down Conversion in Software Radio Terminals. In 10th European Signal Processing Conference (EUSIPCO). Tampere, Finland, September 2000.vol.3:140~146
    17 Amir M. Sodagar, G.Roientan Lahiji. A Pipelined Rom-Less Architecture for Sine-Output Direct Digital Frequency Synthesizers Using the Second-Order Parabolic Approximation. IEEE Transactions on Circuits and Systems. 2001:850-857
    18边计年.用VHDL设计电子线路.清华大学出版社, 2000:1~200
    19 Curticapean F, Palamaki K L. Direct digital frequency synthesis with high memory compression ratio. Electronics Letters. 2001:25~30
    20 M.Cummings and S.Haruyama. FPGA in tht Software radio. IEEE Communiacaions Magazine. 1999:108~112
    21 R.Uusikartion and J.Niittylanhti. Area-Optimized FPGA Implementation of a Digital FM Modulator. In Proceedings IEEE ISCAS. 1999(4):360~362
    22 James R.Armstring, F.Gail Gray. VHDL Design Representation and Synthesis (Second Edition).机械工业出版社, 2002:100~150
    23 M.Park, K.Kim, J.-A Lee. CORDIC-based Direct Digital Frequency Synthesizer: Comparison with a ROM-based Architecture in FPGA Implementation. IEICE Transactions Fundamentals. 2000, 83(6):1282~1285
    24 Howard Johnson, Martin Graham. High-Speed Digital Design.电子工业出版社, 2004:200~300
    25 J.Vankka. Methods of Mapping from Phase to Sine Amplitude in Direct Digital Synthesis. In Proc. IEEE Int. Frequency Control Symp. 1996:942~950
    26 H.T. Nicholas, H.Samueli, B.Kim. Then Optimization of Direct Digital Frequency Synthesizer Performance in the Presence of Finite Word Length Effects. Iin Proc. 42nd Annu. Frequency Control Symp., 1988:357~363
    27宋跃,胡升平,余炽业,雷瑞庭.低频率高精度DDS脉冲信号生成研究与FPGA实现.微电子学与计算机. 2006:178~181
    28付杨.基于FPGA直接数字频率合成两种控制电路设计. PLC技术应用200例. 2007:220~222
    29董国伟,李秋明,赵强,顾德英.基于FPGA的直接数字频率合成器的设计.仪器仪表学报. 2006:878~879
    30潘松,黄继业. EDA技术与VHDL.清华大学出版社, 2005:1~400
    31王炜,赵可萍,郑易.基于FPGA的调制信号电路设计.微计算机信息.嵌入式与SOPC, 2007:206~207
    32黄飞,鲁迎春,何晓雄.基于DDS的LFM信号发生器的FPGA设计.合肥工业大学学报. 2006:618~919
    33田书林,刘科,鹏.高速波形产生及频率调制技术研究.电子科技大学学报. 2004:12~15
    34杨守良.利用Matlab/DSP Builder实现DDS的设计. PLC技术应用200例. 2007:211~212
    35李庆洪,彭华东,陈婷,秦伟.基于FPGA+DDS的MSK数字调频源设计.压电与声光. 2006:601~602
    36齐海兵,张德恒.一种基于DDS的2FSK调制器设计方案.黄石理工学院学报. 2006:35~37
    37 T.Hentschel, M.Henker, G.Fettweis. The Digital Fronend of Software Radio Terminals. IEEE Personal Communiacations. 1999:40~46
    38戴明桢,建江. TMS320C54xDSP结构,原理及应用.北京航空航天大学出版社, 2001:1~100
    39马秀红. TMS320VC5410芯片电源及其复位电路设计.第二炮兵青州士官学校. 2003:5~25
    40姚天任,江太辉.数字信号处理技术.华中科技大学出版社. 2002:1~120
    41汪安民. DSP应用开发实用子程序.人民邮电出版社, 2005:1~300
    42刘剑科,王艳芬. Matlab Link for CCS Development Tools在DSP系统设计中的应用.信息技术与信息化. 2005:26~29

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700