MIMO-OFDM系统中的几个关键算法的VLSI结构设计
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
当信号经过无线信道传输,发射机和接收机产生的噪声和障碍物引起多径传播会严重的降低接收信号的质量,伴随着接收机的高速移动,使得信息更加难以可靠的接收。因此许多先进的技术不得不应用于高速率、快速移动的无线通信系统,例如MIMO多天线、正交频分复用和迭代译码技术等。与此同时,这些算法也增加了接收机的计算复杂度。人们试图寻找优良的VLSI算法实现,期望同时满足性能要求、低成本和低功耗。
     本文针对MIMO-OFDM无线通信系统中的几个关键算法的VLSI设计做了系统和深入的研究,取得了以下关键性成果:
     1.针对3GPP LTE中正交频分复用调制时所需的快速傅里叶变换,提出了一种采用时分复用控制策略的单蝶形VLSI结构。核心电路由一个混合基蝶形计算单元和一个CORDIC坐标旋转数字计算器组成,避免了传统FFT计算中的乘法运算。通过仔细设计规则的流水线电路结构,使得核心计算电路中的所有寄存器之间的组合电路的关键路径趋于一致,利用动态电压和频率调整技术,可以优化功耗管理。
     2.针对2×2天线配置的多输入多输出无线通信系统,提出了一种新颖的近最大似然MIMO检测算法,该算法只对搜索树的第二层节点耗尽搜索,第一层应用提出的区域判定法直接得到假设星座点和反假设点,不需要对每一层搜索树的部分欧几里德距离排序。依据此算法设计了低复杂度且规则的VLSI结构,可以配置并行检测核的数目取得灵活的吞吐率控制。
     3.针对采用最大后验概率算法的Turbo译码器,提出了一种前向、后向度量计算和存储器管理的策略,通过在前向状态度量计算时对部分度量值等间隔抽取存储,然后在对数似然比计算时经过内插还原出未存储的状态度量值,减少了状态度量存储单元,从而降低VLSI实现面积和功耗。
     4.针对3GPP LTE标准中的Turbo码,设计了一种基于最大后验概率算法的低功耗并行译码器。根据二次置换多项式交织器的数学特性,分解并行处理中每个译码器的交织地址为子码块地址和块内偏移地址,提出一种递归计算子码块交织地址的算法,使得并行度可以为任意值,而不仅仅限于2的幂次。并依此设计了低复杂度的实时递归计算交织器的互连结构,以避免传统实现方法中对交织地址的存储,简化了Turbo译码器本征信息处理的互连网络,减小了实现面积和功耗。
As a signal propagates through a wireless channel, it experiences random fuctuationsin time if the transmitter, receiver, or surrounding objects are moving with high speed.Thus, some advanced technologies, such as multi-antennas MIMO system, OFDM anditerative decoding algorithms, are adopted for the high speed wireless communicationsystems to gain the best performance. However, the penalty is the strong requirement oncomputation complexity in the receiver. In the last decade, people spent huge efort onthese algorithm’s VLSI implementation to meet the low cost, low power and maintainingthe acceptable performance.
     This dissertation focus on the VLSI implementation of MIMO spatial multiplexer de-tection, Turbo decoding and FFT processor in the MIMO-OFDM wireless communicationsystems. Some promising results are presented as follows:
     1. Targeted to the fast fourier transform for the orthogonal frequency-division multi-plexing technique in3GPP LET standard, this paper proposes a single butterfyVLSI architecture with the strategy to time division multiplexing the hardwarerotation digital calculation unit. Here the traditional multiply operation fortwiddle factor is avoided. With respect to the regular and pipeline circuit archi-tecture, the combination circuits path between registers are well balanced, whichleading to the dynamic voltage and frequency can be applied for the optimizedpower management.
     2. A novel maximum likelihood-like detection algorithm for the2×2MIMO wirelesscommunication system is proposed for that only the second layer in the searchtree needs to be verifed exhaustively, and then applying the area determinationstrategy to the frst layer to fgure out the hypothesis node and counter-hypothesisnodes without sorting the partial Euclidean distance for each layer, which reducesthe complexity signifcantly. The regular VLSI architecture is designed accordingto the detection algorithm, and fexible parallelism can well control the throughputfor versatile applications.
     3. A novel forward and backward state metric calculation and the memory managementstrategy is presented for the turbo decoder which adopted the Log-MAP(MaximumA-Posteriori) algorithm. By the way of decimating the forward state metric atfrst and then interpolating during the LLR(Log Likelihood Ratio) computationstage to reduce the state metric memory size, which acquired signifcant power and area beneft with ignorable computation penalty. And the soft in soft outscheduling and control mechanism are also addressed for the supporting of ourproposed optimization architecture.
     4. A parallel-structured turbo decoder based on the maximum a-posteriori algorithm isdesigned for the3GPP LTE system. Taking advantage of the mathematic propertyof the quadratic permutation polynomial, the address of each interleaver, in theparallel processing structure, is separated into two parts, block address and ofsetaddress in that block. An recursive algorithm is developed to calculate these twoaddresses in a parallel decoder,which leads to the parallelism can be any value,breaking the limitation of the power of2. Based on the developed algorithm arecursive VLSI architecture is presented, which signifcantly simplifes the extrinsicinformation interconnecting networks and avoids the usage of interleaver storagememory in the conventional approach.
引文
[1] H. Yang. A road to future broadband wireless access: MIMO-OFDM-Based air interface[J].IEEE Communications Magazine,2005,43(1):53–60.
    [2] J. Chuang. The Efects of Time Delay Spread on Portable Radio Communications Channelswith Digital Modulation[J]. IEEE Journal on Selected Area of Communication,1987,5(5):879–889.
    [3] G. J. Foschini. Layered Space-Time Architecture for Wireless Communication in a FadingEnvironment When Using Multi-Element Antennas[J]. Bell Laboratories Technical Jour-nal,1996:41–59.
    [4] C. E. Shannon. A Mathematical Theory of Communication[M]. Bell System TechnicalJournal,1948,27(3):379–423.
    [5] C. Berrou, A. Glavieux, and P. Thitimajshima. Near Shannon limit error-correcting codingand decoding: Turbo-codes[C]. in: Proc. IEEE Int Communications ICC93. Geneva.Technical Program, Conf. Record Conf, vol.2,1064–1070.
    [6] C. Berrou and A. Glavieux. Near optimum error correcting coding and decoding: turbo-codes[J]. IEEE Transactions on Communications,1996,44(10):1261–1271.
    [7] O. Y. Takeshita. On maximum contention-free interleavers and permutation polynomialsover integer rings[J]. IEEE Transactions on Information Theory,2006,52(3):1249–1253.
    [8] J. W. Cooley and J. W. Turkey. An Algorithm for Machine Computation Complex FourierSeries[J]. Math. Comp.,1965,19:297–301.
    [9] J. G. Proakis and D. G. Manolakis. Digital Signal Processing Principles, Algorithms, andApplications[M]. Publishing Hourse of Electronicas Industry,2007.
    [10] J. M. S. Winthrop W Smith. Handbook of real-time fast Fourier transforms: algorithmsto product testing[M]. Wiley-IEEE Press,1995.
    [11] L. I. Bluestein. A linear fltering approach to the computation of the discrete Fourier trans-form[C]. Northeast Electronics Research and Engineering Meeting Record,1968,10:218–219.
    [12] C. M. Rader. Discrete Fourier transforms when the number of data samples is prime[J].Proceedings of the IEEE,1968,56(6):1107–1108.
    [13] S.-J. Huang and S.-G. Chen. A High-Throughput Radix-16FFT Processor With Paralleland Normal Input/Output Ordering for IEEE802.15.3c Systems[J]. IEEE Transactionson Circuits and Systems Part I: Regular Papers,2012,59(8):1752–1765.
    [14]韩泽耀.高速高性能FFT处理器的VLSI实现研究[D].博士学位论文,浙江大学,2002.
    [15] B. G. Jo and M. H. Sunwoo. New continuous-fow mixed-radix (CFMR) FFT Processor us-ing novel in-place strategy[J]. IEEE Transactions on Circuits and Systems Part I: RegularPapers,2005,52(5):911–919.
    [16] S. He and M. Torkelson. Designing pipeline FFT processor for OFDM (de)modulation[C].in: Proc. URSI Int. Symp. Signals, Systems, and Electronics ISSSE98,257–262.
    [17] B. M. Baas. A low-power, high-performance,1024-point FFT processor[J]. IEEE Journalof Solid State Circuits,1999,34(3):380–387.
    [18] J. O’Brien, J. Mather, and B. Holland. A200MIPS single-chip1k FFT processor[C].in: Proc. IEEE Int. Solid-State Circuits Conf. Digest of Technical Papers.36th ISSCC,166–167.
    [19] B. Hassibi and H. Vikalo. On the sphere-decoding algorithm I. Expected complexity[J].IEEE Transactions on Signal Procesing,2005,53(8):2806–2818.
    [20]樊迅. MIMO无线通信系统中信号检测技术的研究[D].博士学位论文,上海交通大学,2006.
    [21]赵慧,龙航,王文博.降低高条件数信道下的球形译码算法复杂度的方法[J],电子与信息学报,2009,31(3):636-639.
    [22]郑勇.多天线系统信道估计与信号检测技术研究[D].博士学位论文,西安电子科技大学,2010.
    [23] E. Agrell, T. Eriksson, A. Vardy, and K. Zeger. Closest point search in lattices[J]. IEEETransactions on Information Theory,2002,48(8):2201–2214.
    [24] R. Lupas and S. Verdu. Linear multiuser detectors for synchronous code-division multiple-access channels[J]. IEEE Transactions on Information Theory,1989,35(1):123–136.
    [25] Z. Xie, R. T. Short, and C. K. Rushforth. A family of suboptimum detectors for coherentmultiuser communications[J]. IEEE Journal on Selected Area of Communication,1990,8(4):683–690.
    [26]陈亮,李建东.新型基于QR分解的低复杂度MIMO迭代接收机[J].电子学报,2007,35(s1):25-29.
    [27] W. H. Chin, A. G. Constantinides, and D. B. Ward. Parallel multistage detection formultiple antenna wireless systems[J]. Electronics Letters,2002,38(12):597–599.
    [28] Lin Bai, Jinho Choi. Low Complexity MIMO Detection[M]. Springer New York DordrechtHeidelberg London,2012.
    [29] D. Wubben, R. Bohnke, V. Kuhn, and K.-D. Kammeyer. MMSE extension of V-BLASTbased on sorted QR decomposition[C]. in: Proc. VTC2003-Fall Vehicular TechnologyConf.2003IEEE58th, vol.1,508–512.
    [30] F. Sobhanmanesh and S. Nooshabadi. VLSI architecture for4x416-QAM V-BLAST de-coder[C]. in: Proc. IEEE Int. Symp. Circuits and Systems ISCAS2006.
    [31] F. Sobhanmanesh, S. Nooshabadi, and K. Kim. A212Mb/s Chip for4x416-QAM V-BLAST decoder[C]. in: Proc.50th Midwest Symp. Circuits and Systems MWSCAS2007,1437–1440.
    [32]李建东,曹琲琲,杨家玮. V-BLAST系统中使用估计信道状态信息的IC-MMSE迭代检测算法[J].电子学报,2007,35(s1):54-59.
    [33] X. Ma and W. Zhang. Performance analysis for MIMO systems with lattice-reduction aidedlinear equalization[J]. IEEE Transactions on Communications,2008,56(2):309–318.
    [34] Y. H. Gan, C. Ling, and W. H. Mow. Complex Lattice Reduction Algorithm for Low-Complexity Full-Diversity MIMO Detection[J]. IEEE Transactions on Signal Procesing,2009,57(7):2701–2710.
    [35] L. Bruderer, C. Studer, M. Wenk, D. Seethaler, and A. Burg. VLSI implementation of alow-complexity LLL lattice reduction algorithm for MIMO detection[C]. in: Proc. IEEEInt Circuits and Systems (ISCAS) Symp,2010,3745–3748.
    [36] B. Gestner, X. Ma, and D. V. Anderson. Incremental Lattice Reduction: Motivation, The-ory, and Practical Implementation[J]. IEEE Transactions on Wireless Communications,2012,11(1):188–198.
    [37] E. Viterbo, J. Boutros. A universal lattice code decoder for fading channels[J]. IEEE Trans-actions on Information Theory,1999,45(5):1639–1642.
    [38] M. O. Damen, H. El Gamal, G. Caire. On maximum-likelihood detection and the search forthe closest lattice point[J]. IEEE Transactions on Information Theory,2003,49(10):2389–2402.
    [39] A. D. Murugan, H. El Gamal, M. O. Damen, and G. Caire. A unifed framework for treesearch decoding: rediscovering the sequential decoder[J]. IEEE Transactions on Informa-tion Theory,2006,52(3):933–953.
    [40] S. Mohan, J. Anderson. Computationally Optimal Metric-First Code Tree Search Algo-rithms. IEEE Transactions on Communications[J],1984,32(6):710–717.
    [41] C. P. Schnorr, M. Euchner. Lattice basis reduction: Improved practical algorithms andsolving subset sum problems[M]. Math. Programming,1994,66(2):181–191.
    [42] Z. Guo, P. Nilsson. Algorithm and implementation of the K-best sphere decoding for MIMOdetection[J]. IEEE Journal on Selected Area of Communication,2006,24(3):491–503.
    [43] K. D. The Art of Computer Programming: Volume3Sorting and Searching[M]. Addison-Wesley,1997.
    [44] J. Hagenauer and C. Kuhn. The List-Sequential (LISS) Algorithm and Its Application[J].IEEE Transactions on Communications,2007,55(5):918–928.
    [45] W.-K. Ma, T. N. Davidson, K. M. Wong, Z.-Q. Luo, and P.-C. Ching. Quasi-maximum-likelihood multiuser detection using semi-defnite relaxation with application to syn-chronous CDMA[J]. IEEE Transactions on Signal Procesing,2002,50(4):912–922.
    [46] A. Wiesel, Y. C. Eldar, and S. S. Shitz. Semidefnite Relaxation for Detection of16-QAMSignaling in MIMO Channels[J]. IEEE Signal Processing Letters,2005,12(9):653–656.
    [47] Y. Yang, C. Zhao, P. Zhou, and W. Xu. MIMO Detection of16-QAM Signaling Based onSemidefnite Relaxation[J]. IEEE Signal Processing Letters,2007,14(11):797–800.
    [48] D. Guo, X. Wang. Blind detection in MIMO systems via sequential Monte Carlo[J]. IEEEJournal on Selected Area of Communication,2003,21(3):464–473.
    [49] Y.-T. Su, X.-D. Zhang, and X.-L. Zhu. A low-complexity sequential Monte Carlo algorithmfor blind detection in MIMO systems[J]. IEEE Transactions on Signal Procesing,2006,54(7):2485–2496.
    [50] R.-R. Chen, R. Peng, A. Ashikhmin, and B. Farhang-Boroujeny. Approaching MIMO ca-pacity using bitwise Markov Chain Monte Carlo detection[J]. IEEE Transactions on Com-munications,2010,58(2):423–428.
    [51] M. Siti and M. P. Fitz. A Novel Soft-Output Layered Orthogonal Lattice Detector forMultiple Antenna Communications[C]. in: Proc. IEEE Int. Conf. Communications ICC’06, vol.4,1686–1691.
    [52] A. Tomasoni, M. Siti, M. Ferrari, and S. Bellini. Turbo-LORD: A MAP-Approaching Soft-Input Soft-Output Detector for Iterative MIMO Receivers[C]. in: Proc. IEEE GlobalTelecommunications Conf. GLOBECOM’07,3504–3508.
    [53] B. M. Hochwald and S. ten Brink. Achieving near-capacity on a multiple-antenna chan-nel[J]. IEEE Transactions on Communications,2003,51(3):389–399.
    [54] P. Robertson, E. Villebrun, and P. Hoeher. A comparison of optimal and sub-optimal MAPdecoding algorithms operating in the log domain[C]. in: Proc. IEEE Int CommunicationsICC’95Seattle,’Gateway to Globalization’ Conf, vol.2,1009–1013.
    [55] C. Studer, A. Burg, and H. Bolcskei. Soft-output sphere decoding: algorithms and VLSIimplementation[J]. IEEE Journal on Selected Area of Communication,2008,26(2):290–300.
    [56] L. C. Golub GH. Matrix Computations[M]. The Johns Hopkins University Press, Balti-more,1996.
    [57] A. Burg, S. Haene, D. Perels, P. Luethi, N. Felber, and W. Fichtner. Algorithm and VLSIarchitecture for linear MMSE detection in MIMO-OFDM systems[C]. in: Proc. IEEE Int.Symp. Circuits and Systems ISCAS2006.
    [58] L. Boher, R. Rabineau, and M. Helard. An Efcient MMSE Equalizer Implementation for4x4MIMO-OFDM Systems in Frequency Selective Fast Varying Channels. in: Proc. IEEE18th Int. Symp. Personal, Indoor and Mobile Radio Communications PIMRC2007,1–5.
    [59] B. Gestner, W. Zhang, X. Ma, and D. V. Anderson. Lattice Reduction for MIMO Detection:From Theoretical Analysis to Hardware Realization[J]. IEEE Transactions on Circuits andSystems Part I: Regular Papers,2011,58(4):813–826.
    [60] B. Widdup, G. Woodward, and G. Knagge. A highly-parallel VLSI architecture for a listsphere detector[C]. in: Proc. IEEE Int Communications Conf, vol.5,2720–2725.
    [61] E. M. Witte, F. Borlenghi, G. Ascheid, R. Leupers, and H. Meyr. A Scalable VLSI Architec-ture for Soft-Input Soft-Output Single Tree-Search Sphere Decoding[J]. IEEE Transactionson Circuits and Systems Part II: Express Briefs,2010,57(9):706–710.
    [62] P. Radosavljevic, Y. Guo, and J. Cavallaro. Probabilistically bounded soft sphere detec-tion for MIMO-OFDM receivers: algorithm and system architecture[J]. IEEE Journal onSelected Area of Communication,2009,27(8):1318–1330.
    [63] S. Mondal, A. Eltawil, C.-A. Shen, and K. N. Salama. Design and Implementation of aSort-Free K-Best Sphere Decoder[J]. IEEE Transactions On Very Large Scale Integration(VLSI) System,2010,18(10):1497–1501.
    [64] T.-H. Kim and I.-C. Park. High-Throughput and Area-Efcient MIMO Symbol DetectionBased on Modifed Dijkstra’s Search[J]. IEEE Transactions on Circuits and Systems PartI: Regular Papers,2010,57(7):1756–1766.
    [65] L. Bahl, J. Cocke, F. Jelinek, and J. Raviv. Optimal decoding of linear codes for mini-mizing symbol error rate (Corresp.)[J]. IEEE Transactions on Information Theory,1974,20(2):284–287.
    [66]白宝明. Turbo码理论及其应用的研究[D].博士学位论文,西安电子科技大学,1999.
    [67]杨帆.高性能信道编译码改进算法的研究[D].博士学位论文,北京邮电大学,2009.
    [68] P. H. P. Robertson and E. Villebrun. Optimal and Sub-Optimal Maximum A Posteriori Al-gorithms Suitable for Turbo Decoding[J]. European Transactions on Telecommunications,1997, Vol.8.
    [69] J. Hagenauer and P. Hoeher. A Viterbi algorithm with soft-decision outputs and its appli-cations[C]. in: Proc. IEEE Global Telecommunications Conf., and Exhibition. Communi-cations Technology for the1990s and Beyond. GLOBECOM’89,1680–1686.
    [70] Myoung-Cheol and I.-C. Park. SIMD Processor-Based Turbo Decoder Supporting Mul-tiple Third-Generation Wireless Standards[J]. IEEE Transactions On Very Large ScaleIntegration (VLSI) System,2007,15(7):801–810.
    [71] C. Benkeser, A. Burg, T. Cupaiuolo, and Q. Huang. Design and Optimization of an HSDPATurbo Decoder ASIC[J]. IEEE Journal of Solid State Circuits,2009,44(1):98–106.
    [72] C. I. Karim S. M. An improved low-power high-throughput log-MAP turbo decoder[J].IEEE Transactions on Consumer Electronics,2010,56(2):450–457.
    [73] C. I. Karim S M. Design of pipelined parallel turbo decoder using contention free inter-leaver[C]. TENCON2011-2011IEEE Region10Conference,2011:646–650.
    [74] M. Martina and G. Masera. Turbo NOC: A Framework for the Design of Network-on-Chip-Based Turbo Decoder Architectures[J]. IEEE Transactions on Circuits and Systems PartI: Regular Papers,2010,57(10):2776–2789.
    [75] C. Studer, C. Benkeser, S. Belfanti, and Q. Huang. Design and Implementation of a Par-allel Turbo-Decoder ASIC for3GPP-LTE[J]. IEEE Journal of Solid State Circuits,2011,46(1):8–17.
    [76] C.-H. Lin, C.-Y. Chen, E.-J. Chang, and A.-Y. Wu. A0.16nJ/bit/iteration3.38mm2turbodecoder chip for WiMAX/LTE standards[C]. in: Proc.13th Int Integrated Circuits (ISIC)Symp,168–171.
    [77] C.-C. Wong and H.-C. Chang. High-Efciency Processing Schedule for Parallel TurboDecoders Using QPP Interleaver[J]. IEEE Transactions on Circuits and Systems Part I:Regular Papers,2011,58(6):1412–1420.
    [78] R. V. Knopp R, Pacalet R. Dynamic Power Management for the Iterative Decoding ofTurbo Codes. IEEE Transactions On Very Large Scale Integration (VLSI) System,2012,20(11):2133–2137.
    [79] A. Goldsmith. Wireless Communications[M]. Caambridge University Press,2005.
    [80] A. J. Viterbi. An intuitive justifcation and a simplifed implementation of the MAP de-coder for convolutional codes[J]. IEEE Journal on Selected Area of Communication,1998,16(2):260–264.
    [81] J. G. Proakis. Digital Communications[M]. Publishing Hourse of Electronicas Industry,2009.
    [82] J. G. Proakis. Digital Communications[M]. MacGraw-Hill Education,2007.
    [83] C. D. Thompson. Fourier Transforms in VLSI[J]. IEEE Transactions on Computers,1983,(11):1047–1057.
    [84] A. M. Despain. Very Fast Fourier Transform Algorithms Hardware for Implementation[J].IEEE Transactions on Computers,1979,(5):333–341.
    [85] E. H. Wold and A. M. Despain. Pipeline and Parallel-Pipeline FFT Processors for VLSIImplementations[J]. IEEE Transactions on Computers,1984,(5):414–426.
    [86] S.-N. Tang, C.-H. Liao, and T.-Y. Chang. An Area-and Energy-Efcient Multimode FFTProcessor for WPAN/WLAN/WMAN Systems[J]. IEEE Journal of Solid State Circuits,2012,47(6):1419–1435.
    [87] C. Yu, M.-H. Yen, P.-A. Hsiung, and S.-J. Chen. A low-power64-point pipeline FFT/IFFTprocessor for OFDM applications[J]. IEEE Transactions on Consumer Electronics,2011,57(1):40–45.
    [88] M. Lehne and S. Raman. A0.13-um1-GS/s CMOS Discrete-Time FFT Processor forUltra-Wideband OFDM Wireless Receivers[J]. IEEE Transactions on Microwave Theoryand Techniques,2011,59(6):1639–1650.
    [89] X. Guan, Y. Fei, and H. Lin. Hierarchical Design of an Application-Specifc InstructionSet Processor for High-Throughput and Scalable FFT Processing[J]. IEEE TransactionsOn Very Large Scale Integration (VLSI) System,2012,20(3):551–563.
    [90] Y. Chen, Y.-W. Lin, Y.-C. Tsao, and C.-Y. Lee. A2.4-Gsample/s DVFS FFT Processorfor MIMO OFDM Communication Systems[J]. IEEE Journal of Solid State Circuits,2008,43(5):1260–1273.
    [91] Y.-W. Lin, H.-Y. Liu, and C.-Y. Lee. A dynamic scaling FFT processor for DVB-T appli-cations[J]. IEEE Journal of Solid State Circuits,2004,39(11):2005–2013.
    [92] M. Hasan and T. Arslan. Coefcient memory addressing scheme for high performance FFTprocessors[J]. Electronics Letters,2001,37(22):1322–1324.
    [93] M. Hasan and T. Arslan. Implementation of low-power FFT processor cores using a novelorder-based processing scheme[J]. IEE Proceedings-Circuits, Devices and Systems,2003,150(3):149–154.
    [94] C.-M. Chen, C.-C. Hung, and Y.-H. Huang. An Energy-Efcient Partial FFT Processorfor the OFDMA Communication System[J]. IEEE Transactions on Circuits and SystemsPart II: Express Briefs,2010,57(2):136–140.
    [95] C.-H. Yang, T.-H. Yu, and D. Markovic. Power and Area Minimization of ReconfgurableFFT Processors: A3GPP-LTE Example[J]. IEEE Journal of Solid State Circuits,2012,47(3):757–768.
    [96] S.-Y. Peng, K.-T. Shr, C.-M. Chen, and Y.-H. Huang. Energy-efcient128-2048/1536-point FFT processor with resource block mapping for3GPP-LTE system[C]. in: Proc. IntGreen Circuits and Systems (ICGCS) Conf,2010,14–17.
    [97]肖昊,向波,陈赟等.高效可配置FFT处理器的VLSI设计及其应用[J].计算机辅助设计与图形图像学报,2009,21(2):209-213.
    [98]张犁,李双飞,石光明等.一种FFT并行处理机的设计与实现[J].西安电子科技大学学报,2010,37(4):630-635
    [99]刘红侠,杨靓,黄巾等.可变长FFT并行旋转因子高效产生算法及实现[J].西安电子科技大学学报,2009,36(3):541-546.
    [100]刘德福,雷天民,马卓等. LTE系统中可配置FFT/IFFT的设计与实现[J].西安电子科技大学学报,2010,37(5):813-816.
    [101] A. M. Despain. Fourier Transform Computers Using CORDIC Iterations[J]. IEEE Trans-actions on Computers,1974,(10):993–1001.
    [102] R. Sarmiento, V. de Armas, J. F. Lopez, J. A. Montiel-Nelson, and A. Nunez. A CORDICprocessor for FFT computation and its implementation using gallium arsenide technolo-gy[J]. IEEE Transactions On Very Large Scale Integration (VLSI) System,1998,6(1):18–30.
    [103] G. O. Larsson E G. The Impact of Dynamic Voltage and Frequency Scaling on MulticoreDSP Algorithm Design[J]. IEEE Signal Processing Magazine,2011,28(3):127–144.
    [104] P.-Y. T. Tzi-Dar Chiueh. OFDM Baseband Receiver Design for Wireless Communication-s[M]. John Wiley&Sons,2007.
    [105] Y. H. Hu. CORDIC based VLSI architectures for digital signal perocessing[J]. IEEE SignalProcessing Magazine,1992,40(4):834–844.
    [106] Y. H. Hu. the quantization efects of the CORDIC algorithm[J]. IEEE Transactions onSignal Processing,1992,4:16–35.
    [107]任光亮,段昕利,郁光辉等. MIMO空间复用系统中的一种新的低复杂度球形检测算法[J].西安电子科技大学学报,2011,38(2):13-17.
    [108] C. Studer, S. Fateh, and D. Seethaler. ASIC Implementation of Soft-Input Soft-OutputMIMO Detection Using MMSE Parallel Interference Cancellation[J]. IEEE Journal ofSolid State Circuits,2011,46(7):1754–1765.
    [109] A. Burg, M. Borgmann, M. Wenk, M. Zellweger, W. Fichtner, and H. Bolcskei. VLSI im-plementation of MIMO detection using the sphere decoding algorithm[J]. IEEE Journalof Solid State Circuits,2005,40(7):1566–1577.
    [110] C.-A. Shen and A. M. Eltawil. A Radius Adaptive K-Best Decoder With Early Termina-tion: Algorithm and VLSI Architecture[J]. IEEE Transactions on Circuits and SystemsPart I: Regular Papers,2010,57(9):2476–2486.
    [111] C.-H. Liao, T.-P. Wang, and T.-D. Chiueh. A74.8mW Soft-Output Detector IC for8x8Spatial-Multiplexing MIMO Communications[J]. IEEE Journal of Solid State Circuits,2010,45(2):411–421.
    [112] Z.-Y. Huang and P.-Y. Tsai. Efcient Implementation of QR Decomposition for GigabitMIMO-OFDM Systems[J]. IEEE Transactions on Circuits and Systems Part I: RegularPapers,2011,58(10):2531–2542.
    [113] S. Aubert, F. Nouvel, and A. Nafkha. Complexity Gain of QR Decomposition Based SphereDecoder in LTE Receivers[C]. in: Proc. IEEE70th Vehicular Technology Conf. Fall (VTC2009-Fall),1–5.
    [114] M. J. Schulte and K. E. Wires. High-speed inverse square roots[C]. in: Proc.14th IEEESymp. Computer Arithmetic,1999,124–131.
    [115] M. Ercegovac and T. Lang. Digital Arithmetic[M]. Morgan Kaufmann, An Imprint ofElsevier,2004.
    [116] B. Parhami. Computer Arithmetic-Algorithms and Hardware Designs[M]. Oxford Uni-versity Press, USA,1999.
    [117] M. Barni, V. Cappellini, and A. Mecocci. Fast vector median flter based on Euclideannorm approximation[J]. IEEE Signal Processing Letters,1994,1(6):92–94.
    [118] T. A. Cupaiuolo T, Siti M. Low-complexity high throughput VLSI architecture of soft-output ML MIMO detector[C]. in: Design, Automation&Test in Europe Conference&Exhibition (DATE),2010.
    [119] B. A. Paker O, Eckert S. A Low Cost Multi-Standard Near-Optimal Soft-Output SphereDecoder: Algorithm and Architecture[C]. in: Design, Automation&Test in Europe Con-ference&Exhibition (DATE),2010.
    [120]白宝明,马啸,王新梅.一种低复杂性的伪随机交织器实现方案[J].西安电子科技大学学报,1999,26(5):657-661.
    [121]路成业,孙蓉,王新梅. Turbo码不同译码算法中的量化性能分析[J].西安电子科技大学学报,2002,29(5):639-643.
    [122] H. Q. Fateh S., Benkeser C. Implementation Trade-Ofs of Soft-Input Soft-Output MAPDecoders for Convolutional Codes[J]. IEEE Transactions on cuits and Systems I: RegularPapers,2012,59(11):2774–2783.
    [123] T. A. I Atluri. Low power VLSI implementation of the MAP decoder for turbo codesthrough forward recursive calculation of reverse state metrics[C]. in: IEEE Int. SOC Conf.,2003.
    [124] I.-C. P. Hye-Mi Choi, Ji-Hoon Kim. Low-Power Hybrid Turbo Decoding Based on ReverseCalculation[J]. IEICE Transactions on Fundamentals of Electronics, Communications andComputer Sciences,2006, E89-A(3):782–789.
    [125] K. L. J Kwak, S M Park. Reverse tracing of forward state metric in log-MAP and max-log-MAP decoders[C]. in: Int. Symp. on Circuits and Systems.
    [126] K. K. P. Z Wang, Z Chi. Area-efcient high-speed decoding schemes for turbo decoders[J].IEEE Transactions on VLSI Systems,2002,10(6):902–912.
    [127] M. G. Martina M. State Metric Compression Techniques for Turbo Decoder Archi-tectures[J], IEEE Transactions On Circuits and Systems I: Regular Papers,2011,58(5):1119–1128.
    [128]许兆,王珂,李卓.基于并行预测控制的Turbo码译码结构[J].北京邮电大学学报,2009,32(6):114-119.
    [129] O. Y. Takeshita. On maximum contention-free interleavers and permutation polynomialsover integer rings[J]. IEEE Transactions on Information Theory,2006,52(3):1249–1253.
    [130] J. Ryu and O. Y. Takeshita. On quadratic inverses for quadratic permutation polynomialsover integer rings[J]. IEEE Transactions on Information Theory,2006,52(3):1254–1260.
    [131] J. Sun and O. Y. Takeshita. Interleavers for turbo codes using permutation polynomialsover integer rings[J]. IEEE Transactions on Information Theory,2005,51(1):101–119.
    [132] O. Y. Takeshita. Permutation Polynomial Interleavers: An Algebraic-Geometric Perspec-tive[J]. IEEE Transactions on Information Theory,2007,53(6):2116–2132.
    [133] G. Montorsi and S. Benedetto. Design of fxed-point iterative decoders for concatenat-ed codes with interleavers[J]. IEEE Journal on Selected Area of Communication,2001,19(5):871–882.
    [134] C.-M. Wu, M.-D. Shieh, C.-H. Wu, Y.-T. Hwang, and J.-H. Chen. VLSI architecturaldesign tradeofs for sliding-window log-MAP decoders[J]. IEEE Transactions On VeryLarge Scale Integration (VLSI) System,2005,13(4):439–447.
    [135] C.-C. Wong and H.-C. Chang. Reconfgurable Turbo Decoder With Parallel Architecturefor3GPP LTE System[J]. IEEE Transactions on Circuits and Systems Part II: ExpressBriefs,2010,57(7):566–570.
    [136] C.-C. Wong and H.-C. Chang. High-Efciency Processing Schedule for Parallel TurboDecoders Using QPP Interleaver[J]. IEEE Transactions on Circuits and Systems Part I:Regular Papers,2011,58(6):1412–1420.
    [137] P. Garrett. The mathematics of coding theory[M]. Englewood Clifs, N J: Prentice Hall,2004.
    [138] Evolved Universal Terrestrial Radio Access (E-UTRA), LTE physical layer, General de-scription, ETSI TS136201V8.3.0(2009-04).

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700