应用于深亚波长光刻的光学邻近校正技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
在摩尔定律的驱动下,集成电路的晶体管密度每两年翻一倍,在保持生产成本不变的前提下,提高电路的性能,降低功耗。在过去的五十多年里,作为集成电路生产中的关键技术,光刻技术的发展成功将晶体管的尺寸从毫米级缩小到了纳米级。光刻光源波长的缩小一直是光刻技术发展的主要手段,然而,其缩小速度远小于集成电路特征尺寸的缩小速度。自从250nm工艺节点开始,光刻技术进入了亚波长光刻阶段——光刻光源的波长大于所要生产的图形的最小尺寸。分辨率增强技术也由此应用而生,以解决光刻过程中发生的图形畸变问题。光学邻近校正技术作为应用最为广泛,最为有效的分辨率增强技术之一,通过对光刻掩模板上图形的修改达到提高光刻保真度的目的。发展到目前,最先进的光刻技术在使用193nm波长的光源生产特征尺寸为22nm/17nm的芯片。生产图形的尺寸只有光源波长的十分之一左右,光刻技术发展进入了一个新的阶段——深亚波长光刻阶段。相应的,深亚波长光刻技术对光学邻近校正也提出了新的挑战和要求——新的设计类型和更高的图形密度,更高的校正效率和校正精度。本文分别针对光学邻近校正中光刻仿真、图形切割和层次结构处理三个步骤提出了新的解决方法,以满足深亚波长光刻的需要。这些新方法被应用于自有的光学邻近校正软件ZOPC中,并成功处理了多个工业界产品。本文的主要内容和创新点概括如下:
     针对一维版图的快速光刻仿真。在现代集成电路生产过程中,快速平面光刻仿真对集成电路版图优化和光刻系统优化都具有至关重要的意义。随着集成电路生产工艺进入“深亚波长光刻”阶段,一维版图设计规则被广泛研究和采用。本文充分利用光刻系统中光源的部分相干特性和一维图形的特性,提出了针对一维版图的快速平面光刻仿真算法。该方法由一维基元图形查表法、最小查找表及其边缘延伸和无切割的大面积版图仿真组成。仿真结果表明,在保证极高准确性的基础上,相比于传统的快速仿真方法,该方法将查找表的建立时间缩短了95%以上、基本图形的仿真速度提高了48%左右、大面积版图的仿真速度提高了70%以上。
     面向集成电路功能性和成品率的图形切割方法。随着集成电路特征尺寸的不断缩小,集成电路生产过程中掩模数据量的不断增长,极大的增加了生产成本。应用于掩模版图的光学邻近校正增加了版图的复杂度,是成本增长的原因之一。本文提出了一种全新的切分方式,基于对版图中影响成品率的图形的识别,该切分方法可以在保证关键部位的校正质量的同时,简化校正后版图的复杂度,减少最终掩模版图的数据量。实验结果显示使用该切分方法得到的掩模版图数据量大小只有通过传统方法得到的一半左右,校正时间也减少到了传统方式的四分之一左右。
     针对阵列式版图和随机逻辑电路版图采用不同策略的混合式层次结构处理方法。随着集成电路技术的不断发展,一套集成电路版图中所含有的图形数量在急速增加,数据量也相应的飞速增长。传统的集成电路设计工具往往将设计版图按照一定的层次结构来储存,通过数据的重用来提高存取速度和处理效率。本文提出了针对阵列式版图和随机逻辑电路中不同的版图特点,采用不同策略的混合式层次结构处理方法。该方法在保证校正精度的前提下,最大限度的减少校正过程中的冗余运算,提高校正效率,降低校正时间,并有效减少了校正后版图的数据量。实验结果显示,与传统的扁平式校正方法相比,混合式层次处理方法可以将校正时间和校正后版图数据量分别减少80%和90%以上。实验和流片结果则双双验证了该方法的准确性。
Being driven by Moore's law, the transistor density of integrated circuit doubles every two years, while the performance of the circuit increases, the power consumption of the circuit decreases, and the production cost remains the same.In the past fifty years, as the key technique of IC manufacturing, the development of lithography has successfully enabled the shrinking of transistor's size from millimeters to nanometers. The development of lithography mainly relies on new light sources with shorter wavelength. But the shrinking speed of the wavelength is much slower than that of transistor's size.Since the250nm technology node, wavelength of light source has been greater than the feature size of the pattern to be produced, which is called as sub-wavelength lithography. Hence, resolution enhancement technologies (RETs) are developed to reduce the pattern distortion in lithography. As the most widely used and most efficient RETs, optical proximity correction (OPC) improves the fidelity of lithography by modifying the layout patterns on the lithography masks. Nowadays, the most advanced lithography technology produces IC chips with22nm/17nm feature size using193nm wavelength source. The size of produced pattern is only about tenth of the source's wavelength, which means the lithography technology has moved into one new stage, namely the deep sub-wavelength lithography. Accordingly, deep sub-wavelength lithography has created new requirements and challenges for OPC technology. The new design style is developed; the pattern density is getting higher; and the correction is demanded to be more efficient and accurate. This dissertation proposes some new solutions for lithography simulation, dissection and hierarchy process, which are the three main steps in OPC, to fulfill the demands of deep sub-wavelength lithography. These innovations have been implemented into the proprietary ZOPC software, which has successfully processed a couple of industrial products. The main contents and innovations are summarized as follows:
     A fast lithography simulation method for1-D layout
     In modern IC manufacturing process, fast lithography simulation becomes one of the most significant technologies for IC layout optimization and optical system optimization. Meanwhile,1-D layout design rules are intensively studied and widely used to get better printability, as IC technology scales down to process node with "deep sub-wavelength lithography". In this dissertation, one fast lithography simulation methodology is proposed for1-D layout, taking advantage from the characteristics of partial coherent system and1-D pattern. The new methodology consists of look-up table based on1-D basis pattern, the minimum look-up table and its boundary extension, and simulation of large scale layout without division. Simulation and experiment results show that the building time of look-up table is reduced more than95%, the simulation speed for basic pattern improves about48%, and the simulation speed for large scale layout improves more than70%with highly accuracy, when the new algorithm is compared with conventional method.
     A novel dissection method based on circuit functionality and yield
     As the feature size of IC shrinking smaller, growing data volume of mask tremendously increases manufacture cost. The cost increase is partially due to the complicated Optical Proximity Corrections (OPC) applied on mask design. In this dissertation, a yield-aware dissection method is presented. Based on recognition of yield related mask context, the dissection result provides sufficient degrees of freedom to keep fidelity on critical sites while still retaining the frugality of modified designs.Experiments show that the final mask volume using the new method is reduced to about half of that of conventional method, and the runtime of OPC is reduced to about a quarter of the conventional method.
     Hybrid hierarchical processing
     With the development of IC technology, the number of patterns in one set of design layout increases rapidly, which also increases the data volume of the design layout. Normally, IC design tools organize the design layout in a certain hierarchical structure, by which the access speed and process efficiency is improved, because of the data reusing. According to the different characteristics of array layout and random logic layout, this dissertation presents a hybrid hierarchy processing method to handle these two kinds of layout with different strategies.With guarantee of high correction accuracy, the new method removes the redundant correction as much as possible. As a result, the correction efficiency is improved, and the data volume of the corrected layout is reduced. The experiments show that the hybrid hierarchical processing reduces the runtime for full-chip correction by80%and the data volume of corrected layout by90%, while being compared with the conventional flatten approach. Both experiments and tape-out results verified the accuracy of this method.
引文
[1]Alvin Toffler; the Third Wave. USA:Bantam Books.1980
    [2]MBA智库百科,信息社会,http://wiki.mbalib.com/wiki/%E4%BF%Al%E6%81%AF%E7%A4%BE%E4% BC%9A
    [3]Wikipedia; Digital Revolution.http://en.wikipedia.org/wiki/DigitalRevolution
    [4]中华人民共和国国务院,国家中长期科学和技术发展规划纲要(2006—2020年),http://www.gov.cn/jrzg/2006-02/09/content 183787.htm
    [5]中华人民共和国工业和信息化部,集成电路产业“十二五”发展规划,http://www.miit.gov.cn/n11293472/n11293832/n11293907/n11368223/14473435. html
    [6]Alfred Kwok-kit Wong; Resolution Enhancement Techniques in Optical Lithography. USA:SPIE Press,2001, Chapter 1. Introduction, pp.2-6
    [7]维基百科,纸,http://zh.wikipedia.org/wiki/%E7%BA%B8
    [8]维基百科,墨,http://zh.wikipedia.org/wiki/%E5%A2%A8
    [9]中国数字科技馆,印刷术的先驱——印章,http://amuseum. cdstm.cn/AMuseum/print/yinshua01-1-3.html
    [10]中国数字科技馆,早期的印刷形式之一——拓石,http://amuseum.cdstm.cn/AMuseum/print/yinshua01-1-4.html
    [11]中国数字科技馆,印刷术,http://amuseum.cdstm.cn/AMuseum/print/yinshua01-1.html
    [12]百度百科,谷腾堡,http://baike.baidu.cn/view/1086093.htm
    [13]Wikipedia; Lithography.http://en.wikipedia.org/wiki/Lithography
    [14]Gordon E. Moore; Cramming More Components onto Integrated Circuits. Electronics,1965, Vol.38, no.8, pp.114-117
    [15]Gordon E. Moore; Progress in Digital Integrated Electronics. IEEE, IEDM Tech Digest,1975, pp.11-13
    [16]Robert H. Dennard, Fritz H. Gaensslen, Hwa-nian Yu, V. Leo Rideout, Ernest Bassous, and Andre R. LeBlanc; Design of Ion-Implanted MOSFET's with Very Small Physical Dimensions. IEEE J. Solid-State Circuits,1974, Vol.9, no.5, pp.256-268
    [17]Wikipedia; Moore's law. http://en.wikipedia.org/wiki/Moore's_law
    [18]Mark Bohr; Moore's Law in the Innovation Era. Proc. SPIE. Design for Manufacturability through Design-Process Integration V,2011, Vol.7974, paper 797402
    [19]王阳元,康晋锋;硅集成电路光刻技术的发展与挑战;半导体学报,2002,第23卷,第3期,pp.225-237
    [20]Hong Xiao; Introduction to Semiconductor Manufacturing Technology, Second Edition. USA:SPIE Press,2012, pp.215-216
    [21]T. M. Bloomstein, M. W. Horn, M. Rothschild, R. R. Kunz, S. T. Palmacci, and R. B. Goodman; Lithography with 157nm lasers. Journal of Vacuum Science & Technology B:Microelectronics and Nanometer Structures,1997, Vol.15, Issue 6, pp.2112-2116
    [22]T. M. Bloomstein, M. Rothschild, R. R. Kunz, D. E. Hardy, R. B. Goodman, and S. T. Palmacci; Critical issues in 157nm lithography. Journal of Vacuum Science & Technology B:Microelectronics and Nanometer Structures,1998, Vol.16, Issue 6, pp.3152-3157
    [23]A. K. Bates, M. Rothschild, T. M. Bloomstein, T. H. Fedynyshyn, R. R. Kunz, V. Liberman, and M. Switkes; Review of technology for 157-nm lithography. IBM Journal of Research and Development,2001, Vol.45, Issues 5, pp.605-614
    [24]Mark LaPedus; Intel drops 157-nm tools from lithography roadmap.2003, http://www.eetimes.com/document.asp?doc id=1175202
    [25]Harry Sewell, Jan Mulkens, Diane McCaferty, Louis Markoya, Bob Streefkerk and Paul Graeupner; The Next Phase for Immersion Lithography. Proc. SPIE 6154 Optical Microlithography XIX,2006, Vol.6154, paper 615406
    [26]Soichi Owa, Hiroyuki Nagasaka; Immersion lithography; its potential performance and issues. Proc. SPIE 5040 Optical Microlithography XVI,2003, Vol.5040, pp.724-733
    [27]Bruce W. Smith, Hoyoung Kang, Anatoly Bourov, Frank Cropanese, and Yongfa Fan; Water immersion optical lithography for 45-nm node. Proc. SPIE 5040 Optical Microlithography XVI,2003, Vol.5040, pp.679-689
    [28]Burn J. Lin; Immersion lithography and its impact on semiconductor manufacturing. J. Micro/Nanolith. MEMS MOEMS,2004, Vol.3, Issue 3, pp.377-395
    [29]William H Arnold, Mircea Dusa, and Jo Flinders; Metrology challenges of double exposure and double patterning. Proc. SPIE 6518 Metrology, Inspection, and Process Control for Microligthography XXI,2007, Vol.6518, paper 651802
    [30]Kangguo Cheng, Bruce B. Doris, and Ying Zhang; Multiplying pattern density by single sidewall imaging transfer. US8354331 B2,2013
    [31]J. P. H. Benschop, and A. J. J. van Dijsseldonk, W. M. Kaiser, and D. C. Ockwell; EUCLIDES:European EUVL Program. J. Vac. Sci. Technol.,1999, Vol. B17, pp.2978-2981
    [32]S. Y. Chou, P. R. Krauss, and P. J. Renstrom; Imprint of sub-25 nm vias and trenches in polymers. Appl. Phys. Lett.,1995, Vol.67, No.21, pp.3114-3116
    [33]D.S. Pickard, T.T. Groves, W.D. Meisburger, T. Crame, and R.F. Pease; Distributed axis electron beam technology for maskless lithography and defect inspection. J. Vac. Sci. Technol.2003, Vol. B21, pp.2834-2838
    [34]George E. Bailey, Alexander Tritchkov, Jea-Woo Park, Le Hong, Vincent Viaux, Eric Hendrickx, Staf Verhaegen, Peng Xie, and Janko Versluijs; Double pattern EDA solution for 32nm HP and beyond. Proc. SPIE 6521 Design for Manufacturability through Design-Process Integration,2007, Vol.6521, paper 65211K
    [35]A. K. Raub, S. Smolev, A. Biswas, and S. R. J. Brueck; Extending 193nm Optical Lithography to the 22-nm HP Node and Beyond. SEMATECH Litho Forum,2006
    [36]M. Maenhoudt, J. Versluijs, H. Struyf, J. Van Olmen. and M. Van Hove; Double patterning scheme for sub-0.25 k1 single damascene structures at NA=0.75, λ=193nm. Proc. SPIE 5754 Optical Microlithography ⅩⅧ,2005, Vol.5754, pp.1508-1518
    [37]Woo-Yung Jung, Choi-Dong Kim, Jae-Doo Eom, Sung-Yoon Cho, Sung-Min Jeon, Jong-Hoon Kim, Jae-In Moon, Byung-Seok Lee, and Sung-Ki Park; Patterning with spacer for expanding the resolution limit of current lithography tool. Proc. SPIE 6156 Design and Process Integration for Microelectronic Manufacturing IV,2006, Vol.6156, paper 61561J
    [38]Paolo Gargini; International Cooperation on EUVL Development:The International EUV Initiative (IEUVI).2nd International Extreme Ultra-Violet Lithography (EUVL) Symposium,2003, pp.402-426
    [39]William H. Arnold; Challenges for 1x nm device manufacturing using EUVL: scanner and mask. Proc. SPIE 8166 Photomask Technology 2011,2011, Vol. 8166, paper 816621
    [40]Tae-Seung Eom; Hong-Ik Kim; Choon-Ky Kang; Yoon-Jung Ryu; Seung-Hyun Hwang; Ho-Hyuk Lee; Hee-Youl Lim; Jeong-Su Park; Noh-Jung Kwak; and Sungki Park; Patterning challenges of EUV lithography for 1X-nm node DRAM and beyond. Proc. SPIE 8679 Extreme Ultraviolet (EUV) Lithography Ⅳ,2013, Vol.8679, paper 86791J
    [41]Franklin M. Schellenberg; Resolution enhancement technology:the past, the present, and extensions for the future. Proc. SPIE 5377 Optical Microlithography XVII,2004, Vol.5377, pp.1-20
    [42]Lars W. Liebmann; Resolution enhancement techniques in optical lithography: It's not just a mask problem. Proc. SPIE 4409 Photomask and Next-Generation Lithography Mask Technology Ⅷ,2001, Vol.4409, pp.23-32
    [43]Taichi Yamazaki, Yosuke Kojima, Mitsuharu Yamana, Takashi Haraguchi, and Tsuyoshi Tanaka; Fine pattern fabrication property of binary mask and attenuated phase shift mask. Proc. SPIE 7379 Photomask and Next-Generation Lithography Mask Technology XVI,2009, Vol.7370, paper 73791V
    [44]Lars W. Liebmann, Ioana C. Graur, William C. Leipold, James M. Oberschmidt, David S. O'Grady, and Denis Regaill; Alternating phase-shifted mask for logic gate levels, design, and mask manufacturing. Proc. SPIE 3679 Optical Microlithography Ⅻ,1999, Vol.3679, pp.27-37
    [45]Tae-Seung Eom, Jun-Taek Park, Jung-Hyun Kang, Sarohan Park, Sunyoung Koo, Jin-Soo Kim, Byoung-Hoon Lee, Chang-Moon Lim, HyeongSoo Kim, and Seung-Chan Moon; Comparative study of binary intensity mask and attenuated phase shift mask using hyper-NA immersion lithography for sub-45nm era. Proc. SPIE 6924 Optical Microlithography XXI,2008, Vol.6924, paper 69240H
    [46]Chris Edwards; Fractured future:Technology Watch Computational Lithography. New electronics, http://fplreflib.findlav.co.uk/articles/33644/P36-38.pdf
    [47]Aasutosh. D. Dave, and Ryoung-han Kim; Pushing the limits of RET with different illumination optimization methods. Proc. SPIE 7274 Optical Microlithography ⅩⅫ,2009, Vol.7274, paper 72741C
    [48]Chan Hwang, Dong-Seok Nam, Jin-Hong Park, Sang-Gyun Woo, Han-Ku Cho, and Woo-Sung Han; Layer-specific illumination for low-kl periodic and semiperiodic DRAM cell patterns:design procedure and application. Proc. SPIE 5377, Optical Microlithography XVII,2004, Vol.5377, pp.947-952
    [49]Linyong Pang, Peter Hu, Danping Peng, Dongxue Chen, Tom Cecil, Lin He, Guangming Xiao, Vikram Tolani, Thuc Dam, Ki-Ho Baik, and Bob Gleason; Source mask optimization (SMO) at full chip scale using inverse lithography technology (ILT) based on level set methods. Proc. SPIE 7520 Lithography Asia 2009,2009, Vol.7520, paper 75200X
    [50]Thomas Mulders, Vitaliy Domnenko, Bernd Kuchler, Thomas Klimpel, Hans-Jurgen Stock, Amyn A. Poonawala, Kunal N. Taravade, and William A. Stanton; Simultaneous source-mask optimization:a numerical combining method. Proc. SPIE 7823 Photomask Technology 2010,2010, Vol.7823, paper 78233X
    [51]Ningning Jia, and Edmund Y. Lam; Pixelated source mask optimization for process robustness in optical lithography. Optics express,2011, Vol.19, No.20, pp.19384-19398
    [52]Hong Xiao; Introduction to Semiconductor Manufacturing Technology, Second Edition. USA:SPIE Press,2012, pp.221-222
    [53]Wim P. de Boeij; Remi Pieternella; Igor Bouchoms; Martijn Leenders; Marjan Hoofman; Roelof de Graaf; Haico Kok; Par Broman; Joost Smits; Jan-Jaap Kuit; and Matthew McLaren; Extending immersion lithography down to 1x nm production nodes. Proc. SPIE 8683 Optical Microlithography XXVI,2013, Vol. 8683, paper 86831L
    [54]Hong Xiao; Introduction to Semiconductor Manufacturing Technology, Second Edition. USA:SPIE Press,2012, pp.223-226
    [55]Kevin Lucas; Chris Cork; Bei Yu; Gerard Luk-Pat; Ben Painter; and David Z. Pan; Implications of triple patterning for 14nm node design and patterning. Proc. SPIE 8327 Design for Manufacturability through Design-Process Integration VI, 2012, Vol.8327, paper 832703
    [56]Haitong Tian; Hongbo Zhang; and Martin D. F. Wong; Color balancing for triple patterning lithography with complex designs. Proc. SPIE 8880 Photomask Technology 2013,2013, Vol.8880, paper 888001
    [57]International Technology Roadmap for Semiconductors, http://www.itrs.net/
    [58]Harry J. Levinson; Principles of Lithography:Third Edition. USA:SPIE Press, 2010, Chapter 8. Confronting the Diffraction Limit, pp.318
    [59]Alfred Kwok-kit Wong; Resolution Enhancement Techniques in Optical Lithography. USA:SPIE Press,2001, Chapter 4. Optical Proximity Correction, pp.93
    [60]Chris Spence; Mask Data Preparation Issues for the 90nm Node:OPC Becomes a Critical Manufacturing Technology. Future FAB International,2004, Issue 16, pp.77-79
    [61]Franklin M. Schellenberg, Hua Zhang, and Jim Morrow; SEMATECH J111 project:OPC validation. Proc. SPIE 3334 Optical Microlithography XI,1998, Vol. 3334,pp.892-911
    [62]Harry J. Levinson; Principles of Lithography:Third Edition. USA:SPIE Press, 2010, Chapter 8. Confronting the Diffraction Limit, pp.323-324
    [63]Scott M. Mansfield, Lars W. Liebmann, Antoinette F. Molless, and Alfred K. K. Wong; Lithographic comparison of assist feature design strategies. Proc. SPIE 4000 Optical Microlithography ⅩⅢ,2000, Vol.4000, pp.63-76
    [64]Oberdan W. Otto, Joseph G. Garofalo, K. K. Low, Chi-Min Yuan, Richard C. Henderson, Christophe Pierrat, Robert L. Kostelak, Sheila Vaidya, and P. K. Vasudev; Automated optical proximity correction:a rules-based approach. Proc. SPIE 2197 Optical/Laser Microlithography VII,1994, Vol.2197, pp.278-293
    [65]Nicolas Bailey Cobb; Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing. [Doctoral Dissertation] USA, University of California at Berkeley,1998, pp.19
    [66]陈晔;适用于超深亚微米集成电路制造与验证流程的光学邻近修正方法研究;博士学位论文,中国浙江杭州,浙江大学,2007,pp.31-34
    [67]Nicolas B. Cobb, Avideh Zakhor, and Eugene A. Miloslavsky; Mathematical and CAD framework for proximity correction. Proc. SPIE 2726 Optical Microlithography Ⅸ,1996, Vol.2726, pp.208-222
    [68]Yuri Granik, Nicolas B. Cobb, and Thuy Do; Universal process modeling with VTRE for OPC. Proc. SPIE 4691 Optical Microlithography XV,2002, Vol.4691, pp.377-394
    [69]Yuri Granik, and Nicolas B. Cobb; New process models for OPC at sub-90-nm nodes. Proc. SPIE 5040 Optical Microlithography XVI,2003, Vol.5040, pp.1166-1175
    [70]James Word V, Andres Torres, and Pat LaCour; Advanced layout fragmentation and simulation schemes for model-based OPCC. Proc. SPIE 5754 Optical Microlithography ⅩⅧ,2005, Vol.5754, pp.1159-1168
    [71]Ye Chen, Kechih Wu, Zheng Shi, Xiaolang Yan; A feasible model-based OPC algorithm using Jacobian matrix of intensity distribution functions. Proc. SPIE 6520 Optical Microlithography ⅩⅩ,2007, Vol.6520, paper 65204C
    [72]Alfred K. Wong, Richard A. Ferguson, and Scott M. Mansfield; The Mask Error Factor in Optical Lithography. IEEE Transactions on Semiconductor Manufacturing,2000, Vol.13, No.2, pp.235-242
    [73]Yuri Granik; Generalized mask error enhancement factor theory. J. Micro/Nanolith. MEMS MOEMS,2005, Vol.4, Issue 2, paper 023001
    [74]Nicolas B. Cobb, and Yuri Granik; Model-based OPC using the MEEF matrix. Proc. SPIE 4889 22nd Annual BACUS Symposium on Photomask Technology, 2002, Vol.4889, pp.1281-1292
    [75]Chris A. Mack; Thirty years of lithography simulation. Proc. SPIE 5754 Optical Microlithography ⅩⅧ,2005, Vol.5754, pp.1-12
    [76]Nicolas B. Cobb, and Avideh Zakhor; Fast sparse aerial-image calculation for OPC. Proc. SPIE 2621 15th Annual BACUS Symposium on Photomask Technology and Management,1995, Vol.2621, pp.534-545
    [77]Taichi Yamazaki, Ryohei Gorai, Yosuke Kojima, Takashi Haraguchi, Tsuyoshi Tanaka, Ryuji Koitabashi, Yukio Inazuki, and Hiroki Yoshikawa; Attenuated phase-shift mask with high tolerance for 193nm radiation damage. Proc. SPIE 8166 Photomask Technology 2011,2011, Vol.8166, paper 81663 V
    [78]Puneet Gupta, Andrew B. Kahng, Sam Nakagawa, Saumil Shah, and Puneet Sharma; Lithography simulation-based full-chip design analyses. Proc. SPIE 6156 Design and Process Integration for Microelectronic Manufacturing IV,2006, Vol.6156, paper 61560T
    [79]Charlotte Beylier, Clement Moyroud, Fabrice Bernard Granger, Frederic Robert, Emek Yesilada, Yorick Trouiller, and Jean-Claude Marin; Fully integrated litho aware PnR design solution. Proc. SPIE 8327 Design for Manufacturability through Design-Process Integration Ⅵ,2012, Vol.8327, paper 83270A
    [80]Donis G. Flagello, Robert J. Socha, Xuelong Shi, Jan B. van Schoot, Jan Baselmans, Mark A. van de Kerkhof, Wim de Boeij, Andre Engelen, Rene Carpaij, Oscar Noordman, Marco H. P. Moers, Melchior Mulder, Jo Finders, Henk van Greevenbroek, Martin Schriever, Manfred Maul, Helmut Haidner, Markus Goeppert, Ulrich Wegmann, and Paul Graeupner; Optimizing and enhancing optical systems to meet the low kl challenge. Proc. SPIE 5040 Optical Microlithography XVI,2003, Vol.5040, pp.139-150
    [81]郭立萍,王向朝,黄惠杰; 照明光瞳非对称性对光刻成像质量的影响;光学学报,2006,第26卷,第6期,pp.885-890
    [82]D. Lee, D. Newmark, K. Toh, P. Flanner, and Andrew R. Neureuther; SPLAT v5.0 User's Guide, EECS Department University of California, Berkeley,1995, http://www.eecs.berkelev.edu/Pubs/TechRpts/1995/2725.html
    [83]Y. C. Pati, Amir Aalam Ghazanfarian, and R. Fabian Pease; Exploiting Structure in Fast Aerial Image Computation for Integrated Circuit Patterns. IEEE Transaction on Semiconductor Manufacturing,1997, Vol.10(1), pp.62-74
    [84]Robert T. Greenway, Rudolf Hendel, Kwangok Jeong, Andrew B. Kahng, John S. Petersen, Zhilong Rao, and Michael C. Smayling; Interference assisted lithography for patterning of ID gridded design. Proc. SPIE 7271 Alternative Lithographic Technologies,2009, Vol.7271, paper 72712U
    [85]Lars Liebmann, Larry Pileggi, Jason Hibbeler, Vyacheslav Rovner, Tejas Jhaveri, and Greg Northrop; Simplify to survive:prescriptive layouts ensure profitable scaling to 32nm and beyond. Proc. SPIE 7275 Design for Manufacturability through Design-Process Integration Ⅲ,2009, Vol.7275, paper 72750A
    [86]Pan, D.Z., Jae-seok Yang, Kun Yuan, Minsik Cho, and Yongchan Ban; Layout optimizations for double patterning lithography. ASICON'09. IEEE 8th International Conference on,2009, pp.726-729
    [87]Andres Torres, Doubling Down:Design-Side Issues of Double Patterning, Chip Design, http://chipdesignmag.com/display.php?articleld=2642
    [88]Thomas Mulders, Vitaliy Domnenko, Bernd Kiichler, Hans-Jurgen Stock, Ulrich Klostermann, and Peter De Bisschop; Source-mask optimization incorporating a physical resist model and manufacturability constraints. Proc. SPIE 8326 Optical Microlithography XXV,2012, Vol.8326, paper 83260G
    [89]Michael C. Smayling; Gridded Design Rules-1-D Approach Enables Scaling of CMOS Logic. Nanochip Technology Journal,2008, Vol.6(2), pp.33-37
    [90]Michael C. Smayling, and Valery Axelrad; 32nm and below logic patterning using optimized illumination and double patterning. Proc. SPIE 7274 Optical Microlithography XXII,2009, Vol.7274, paper 72740K
    [91]Michael C. Smayling, Hua-yu Liu, and Lynn Cai; Low kl logic design using gridded design rules. Proc. SPIE 6925 Design for Manufacturability through Design-Process Integration Ⅱ,2008, Vol.6925, paper 69250B
    [92]Jun Wang, Alfred K. K. Wong, and Edmund Y. Lam; Performance optimization for gridded-layout standard cells. Proc. SPIE 5567 24th Annual BACUS Symposium on Photomask Technology,2004, Vol.5567, pp.107-118
    [93]Hongbo Zhang, Yuelin Du, Martin D.F. Wong, and Kai-Yuan Chao; Mask Cost Reduction with Circuit Performance Consideration for Self-Aligned Double Patterning. Design Automation Conference (ASP-DAC),2011 16th Asia and South Pacific,2011, pp.787-792
    [94]C. Bencher; SADP:The best option for<32nm NAND flash. Nanochip Technology Journal,2007, Vol.5(2), pp.8-13
    [95]Clair Webb; Intel design for manufacturing and evolution of design rules. Proc. SPIE 6925 Design for Manufacturability through Design-Process Integration II, 2008, Vol.6925, paper 692503
    [96]Christopher Bencher, Huixiong Dai, and Yongmei Chen; Gridded design rule scaling:taking the CPU toward the 16nm node. Proc. SPIE 7274 Optical Microlithography XXII,2009, Vol.7274, paper 72740G
    [97]Liu Shiyuan, Wu Xiaofei, Liu Wei, and Zhang Chuanwei; Fast aerial image simulations using one basis mask pattern for optical proximity correction. Journal of Vacuum Science & Technology B:Microelectronics and Nanometer Structures, 2011, Vol.9, Issue 6, paper 06FH03
    [98]Alfred Kwok-kit Wong; Resolution Enhancement Techniques in Optical Lithography. USA:SPIE Press,2001, Chapter 2. Optical Imaging and Resolution, pp.58
    [99]Michael L. Rieger, Jeffrey P. Mayhew, Jiangwei Li, and James P. Shiely; OPC strategies to minimize mask cost and writing time. Proc. SPIE 4562 21st Annual BACUS Symposium on Photomask Technology,2002, Vol.4562, pp.154-160
    [100]International Technology Roadmap for Semiconductors,2011 Edition,2012 Updated, Lithography, http://www.itrs.net/Links/2012ITRS/Home2012.htm
    [101]James Word, Yuri Granik, Marina Medvedeva, Sergei Rodin, Luigi Capodieci, Yunfei Deng, Jongwook Kye, Cyrus Tabery, Kenji Yoshimoto, Yi Zou, Hesham Diab, Mohamed Gheith, Mohamed Habib, and Cynthia Zhu; Inverse vs. traditional OPC for the 22nm node. Proc. SPIE 7274 Optical Microlithography XXII,2009, Vol.7274, paper 72743A
    [102]Yan Borodovsky, Wen-Hao Cheng, Richard Schenker, and Vivek Singh; Pixelated phase mask as novel lithography RET. Proc. SPIE 6924 Optical Microlithography XXI,2008, Vol.6924, paper 69240E
    [103]TachyonTM OPC+,Brion Technologies, http://www.brion,com/?page_id=25
    [104]R. Scott Mackay, Henry Kamberian, and Yuan Zhang; Methods to reduce lithography costs with reticle engineering. Microelectronic Engineering,2006, Volume 83, Issues 4-9, pp.914-918,
    [105]Tejas Jhaveri, Ian Stobert, Lars Liebmann, Paul Karakatsanis, Vyacheslav Rovner, Andrzej Strojwas, and Larry Pileggi; OPC simplification and mask cost reduction using regular design fabrics. Proc. SPIE 7274 Optical Microlithography XXII,2009, Vol.7274, paper 727417
    [106]Mark LaPedus; Analysis:Photomask business model is broken. EE Times,2008 http://www.electronics-eetimes.com/en/analysis-photomask-business-model-is-br oken.html?cmp id=7&news id=211200004#
    [107]Gupta P, Kahng AB, Sylvester D, and Yang J; Performance-driven optical proximity correction for mask cost reduction. J. Micro/Nanolith. MEMS MOEMS,2007, Vol.6(3), paper 031005
    [108]Meg Hung, and Pratheep Balasingam; Hybrid optical proximity correction: concepts and results. Proc. SPIE 4889 22nd Annual BACUS Symposium on Photomask Technology,2002, Vol.4889, pp.1173-1180
    [109]P. Gupta, A. B. Kahng, D. Sylvester, and J. Yang; A cost-driven lithographic correction methodology based on off-the-shelf sizing tools. Proceedings of the 40th annual Design Automation Conference (DAC '03) ACM,2002, pp.16-21
    [110]Li Yanghuan, Shi Zheng, Geng Zhen, Yang Yiwei, and Yan Xiaolang. A new algorithm of inverse lithography technology for mask complexity reduction. Journal of Semiconductors,2012, Vol.33(4), paper 045009
    [111]Yang Yiwei, Shi Zheng, and Yan Xiaolang. Model-based dynamic dissection in OPC. Journal of Semiconductors,2008, Vol.29(7), pp.1422-1427
    [112]Michael C. Smayling, Valery Axelrad, Koichiro Tsujita, Hidetami Yaegashi, Ryo Nakayama, Kenichi Oyama, and Yuichi Gyoda; Sub-20nm logic lithography optimization with simple OPC and multiple pitch division. Proc. SPIE 8326 Optical Microlithography XXV,2012, Vol.8326, paper 832613
    [113]Xu Ma, Shangliang Jiang, and Avideh Zakhor; A cost-driven fracture heuristics to minimize external sliver length. Proc. SPIE 7973 Optical Microlithography XXIV,2011, Vol.7973, paper 797320
    [114]Nicolas Bailey Cobb; Fast Optical and Process Proximity Correction Algorithms for Integrated Circuit Manufacturing. [Doctoral Dissertation] USA, University of California at Berkeley,1998, pp.17-19
    [115]Shih-Ying Chen, and Eric C. Lynn; Flexible fragmentation rules for next-generation OPC:tag prior to fragmentation. Proc. SPIE 4691 Optical Microlithography XV,2002, Vol.4691, pp.1221-1231
    [116]Ma Yue, Shi Zheng, Chen Ye, and Yan Xiaolang; A content-driven model-based OPC tool. Proceedings.7th International Conference on Solid-State and Integrated Circuits Technology,2004, vol.2, pp.1064-1067
    [117]Wikipedia; Library Exchange Format. http://en.wikipedia.org/wiki/Library Exchange Format
    [118]Wikipedia; Design Exchange Format. http://en.wikipedia.org/wiki/Design Exchange Format
    [119]Wikipedia; GDSⅡ.http://en.wikipedia.org/wiki/GDSⅡ
    [120]Wikipedia; Open Artwork System Interchange Standard. http://en.wikipedia.org/wiki/Open Artwork System Interchange Standard
    [121]陈晔;适用于超深亚微米集成电路制造与验证流程的光学邻近修正方法研究;博士学位论文,中国浙江杭州,浙江大学,2007,pp.57-59
    [122]Puneet Gupta, Fook-Luen Heng, and Mark A. Lavin; Merits of cell wise model-based OPC. Proc. SPIE 5379 Design and Process Integration for Microelectronic Manufacturing Ⅱ,2004, Vol.5379, pp.182-189
    [123]Andrew B. Kahng, and Chul-Hong Park; Auxiliary pattern for cell-based OPC. Proc. SPIE 6349 Photomask Technology 2006,2006, Vol.6349, paper 63494S
    [124]Xin Wang, Mark Pillof, Hongbo Tang, and Clive Wu; Exploiting hierarchical structure to enhance cell-based RET with localized OPC reconfiguration. Proc. SPIE 5756 Design and Process Integration for Microelectronic Manufacturing Ⅲ, 2005, Vol.5756, pp.361-367
    [125]Pawlowski D.M., Liang Deng, and Wong M. D F; Fast and Accurate OPC for Standard-Cell Layouts. Asia and South Pacific Design Automation Conference, 2007, ASP-DAC '07,2007, pp.7-12
    [126]Hongbo Zhang, and Zheng Shi; SOFT:smooth OPC fixing technique for ECO process. Proc. SPIE 6521 Design for Manufacturability through Design-Process Integration,2007, Vol.6521, paper 65211H
    [127]Jon Stokes; Intel launches all-new PC architecture with Core i5/i7 CPUs. Arstechnica,2009, http://arstechnica.com/gadgets/2009/09/intel-launches-all-new-pc-architecture-wi th-core-i5 i 7-cpus/

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700