基于媒体芯片的实时操作系统实现研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
芯片设计技术及半导体技术的发展使得系统集成在一个芯片上成为可能,嵌入式系统设计进入片上系统芯片时代。同时,多媒体应用的发展对系统提出了更高的处理能力。多处理器系统芯片以其强大的处理能力、低功耗以及良好的灵活性等成为媒体处理解决方案的一个热点。由于实时操作系统屏蔽了硬件细节,有助于提高应用程序的可移植性和可重用性,提高系统的稳定性,缩短芯片的上市时间,成为系统芯片软件系统中非常重要的一部分。
     作者参与了浙江大学信息与电子工程学系SoC R&D小组承担的具有自主知识产权的媒体系统芯片MediaSoC3221A和高性能多处理器媒体系统芯片MediaSOC64x软件系统方面的工作,展开了嵌入式实时操作系统的设计研究。本文在实验室原有实时操作系统Iota工作的基础之上,不断增强其功能,发展成为新版的实时操作系统。本文的主要内容包括:基于任务管理的实时操作系统内核的设计实现,支持MPSoC编程的面向对象的调度实现,以及基于实时操作系统实现的MPSoC的调试功能。
     本文在Iota原有的基础上,首先进行了其在Media-SoC芯片上的测试验证工作。针对MPEG-1解码系统,本文进行了其任务分解和任务性质分析,并给出了基于实时操作系统实现的任务管理调度。实时操作系统的任务管理调度带来了一些系统开销。但是它大大简化了程序员的编程工作。
     实验室正在研发多处理器媒体系统芯片MediaSOC64x主要面向高性能媒体应用,如MPEG4、H.264等。原有的Iota对多处理器系统芯片支持不足,并且其住任务个数越来越多的情况下,原有的任务管理模式带来的系统开销太大。本文根据媒体应用的特点,提出了一种新的区别于任务管理的调度模式,即面向对象的调皮。面向对象的调度根据粗颗粒度数据流图,将各个对象静态地分配剑各个处理器上,对象之间的连接关系在系统初始化时注册到系统中。各个处理器上的指示器根据对象之间的连接关系管理各个对象的运行,达到多个处理器协调工作,最终实现整个数据流的处理过程。这种调度模式支持多处理器系统芯片编程,具有系统开销小,代码小,良好的灵活性等特点。
     异质多处理器系统芯片的出现也给调试功能的实现带来了难度。本文从开发时间,实现成本方面进行综合考虑,提出了基于实时操作系统实现MPSoC的调试功能。为了保证操作系统本身执行正确,本文首先进行了操作系统功能的调试。这部分工作充分利用了硬件EJTAG模块的支持,开发了相应的软件RDView。操作系统执行正确后,可以利用其增加的调试管理模块实现MPSoC的调试功能。操作系统的调试管理模块包括调试命令集,输入命令和观看结果的人机界面以及执行命令模块。通过操作系统内部设置的调试进程,协调了命令发送端和处理器核上执行调试命令模块这两部分间同步和数据的转发,并通过执行调试命令模块接收执行命令实现MPSoC的调试功能。
The development of chip design and semiconductor technique makes the system integrated into a chip possible.The embedded system design goes to System-on-Chip(SoC) era.Meanwhile, media application development requires more processing ability.Multiprocessors system on one chip(MPSOC) is a trend for media application due to its powerful processing ability,low power consumption and flexibility.Because real-time operating systems can screen the details of hardware,improve the portability and reusability of application,improve the stability of system and reduce the time that chip goes to the market,it becomes one of the most important part of software system of SoC.
     The author takes part in software research work for MediaSoC3221A and high performance MPSoC,named MediaSoC64x that developed by SoC R&D group of information and electronics engineering department of Zhejiang University.The author is responsible for embedded RTOS design.This paper is based on the RTOS Iota.The author enhances the Iota function and develops it to new edition.The work that RTOS kernel design based on task management,an object oriented scheduling that supported MPSoC programming and the debug function realization based on RTOS for MPSoC is included in this paper.
     This paper carries on the work that Iota running in Media-SoC firstly.For MPEG-1 decoding system,this paper divides application into several tasks,analyzes the characteristic of these tasks and gives the task management scheduling realization that based on RTOS.Although the task management of RTOS increases system overhead,it simplifies the application programmer work greatly.
     The MPSoC MediaSoC64x under developing is mainly for high performance media application,such as MPEG-4,H.264.Iota is not fit for MPSoC and system overhead will large under more tasks.According to characteristic of media application,this paper presents new scheduling model that different from task management,namely an object oriented model scheduling.An object oriented model scheduling assigns each object into processors of MPSoC according to coarse grain data flow graph(CGDFG).The connection relationship between objects is registered in RTOS during its initialization process.The directors hosted on every processor manage the objects according to their connection relation and make the processors work together to finish the data flow process.This scheduling model supports MPSoC programming.It has the characteristics of low system overhead,small code and flexibility.
     The advent of heterogeneous MPSoC causes more difficulty tbr debug function realization. This paper compromises the factors of develop time and realization cost.and presents software implementation method of debug function based on RTOS.For making sure RTOS correctness. debug work of RTOS is carried out firstly.This part utilizes the hardware module EJTAG support and software project named RDView is developed.The debug management module of RTOS can realize the debug function of MPSoC after RTOS is debugged right.The debug management module of RTOS is comprised of three parts,debug command set part,the interface between human and computer for command input and result output part and execution command module part.The debug process in RTOS realizes data synchronization and transmission between command input part and execution command part.The execution command part hosted on different processors can execute debug command to realize debug function for MPSoC.
引文
[1]J.L.Hennessy and D.A.Patterson,"Computer Architecture:A Quantitative Approach",3rd edition,San Francisco:Morgan Kaufmann Publishers,Inc 2002.
    [2]M.Schlett,"Trends in embedded-microprocessor design",Computer,vol.31,pp.44-49,1998.
    [3]R.B.Lee,"Multimedia extensions for general-purpose processors",Signal Processing Systems,1997 IEEE Workshop on,pp.9-23,1997.
    [4]D.A.Carlson,R.W.Castelino,and R.O.Mueller,"Multimedia extensions for a 550-MHz RISC microprocessor",Solid-State Circuits,IEEE Journal of vol.32,pp.1618-1624,1997.
    [5]O.Lempel,A.Peleg,and U.Weiser,"Intel's MMX TM technology-a new instruction set extension",Compcon.,Proceedings,IEEE,pp.255-259,1997.
    [6]M.Tremblay and J.M.O'Connor,"UltraSparc Ⅰ:a four-issue processor supporting multimedia",IEEE Micro,vol.16,pp.42-50,1996.
    [7]S.Nanda,"Media Processors",Proceedings of the IEEE,vol.86,issue 6,pp.1203-1221,1997.
    [8]H.De Man,"Future systems-on-a-chip:impact on engineering education",VLSI Design,Eleventh International Conference on,pp.572-577,1998.
    [9]E.McShane and K.Shenai,"Functionally integrated systems on a chip:technologies,architectures.CAD tools,and applications",IEEE Computer Science Press Innovative Architecture for Future Generation High-Performance Processors and Systems,pp.67-75.
    [10]A.M.Rincon,W.R.Lee,and M.Slattery,"The changing landscape of system-on-a-chip design",Custom Integrated Circuits,Proceedings of the IEEE 1999,pp.83-90,1999.
    [11]P.Kim and R.Jain,"Heterogeneous media events processing systems",Proceedings of the 2004 ACM SIGMM workshop on Effective telepresence,pp.52-54,2004.
    [12]张福炎,余崇智,“运动图像及其伴音的压缩与编码—MPEG-1”,南京,1995.
    [13]钟玉琢,MPEG-2运动图像压缩编码国际标准及MPEG的新进展,清华大学出版社,2002.
    [14]R.H.Ccitt,"261:Video Codec for Audiovisual Services at px 64 kbit/s",The International Telegraph and Telephone Consultative Committee,1990.
    [15]K.Rijkse,"H.263:video coding for low-bit-rate communication",Communications Magazine,IEEE,vol.34,pp.42-45,1996.
    [16]T.Wiegand,G.J.Sullivan,G.Bjntegaard,and A.Luthra,"Overview of the H.264/AVC video coding standard",Circuits and Systems for Video Technology,IEEE Transactions on,vol.13,pp.560-576,2003.
    [17]虞露,胡倩,易峰,“AVS视频的技术特征”,电视技术,pp.8-11,2005.
    [18]Y.W.Huang,B.Y.Hsieh,T.C.Chen,and L.G.Chen,"Analysis,fast algorithm,and VLSI architecture design for H.264/AVC intra frame coder",Circuits and Systems for Video Technology:IEEE Transactions on.vol.15.pp.378-401.2005.
    [19]S.Oberman,F.Weber.N.Jufla,and G.Favor."AMD 3Dnow! Technology and the K6-2Microprocessor",Proceedings of Hot Chips,1998.
    [20]R.B.L.Hewlett-Packard."Accelerating Multimedia with Enhanced Microprocessors",IEEE Micro.vol.15,issue 2,pp.22-32,1995.
    [21]A.Peleg,U.Weiser,I.I.D.Center,and I.Haifa,"MMX technology extension to the Intel architecture",IEEE Micro,vol.16,pp.42-50,1996.
    [22]M.Tremblay,J.M.Narayanan,and V.L.He,"VIS speeds new media processing",IEEE Micro,vol.16,pp.10-20,1996.
    [23]S.Graphics,"MIPS Digital Media Extension",Silicon Graphics,Inc.
    [24]K.Diefendorff,P.Dubey,and R.Chochsprung,"AltiVec(tm) Technology:Accelerating Media Processing Across the Spectrum",HOTCHIPS,1998.
    [25]Z.Wu and W.Wolf,"Parallel Architectures for Programmable Video Signal Processing",Programmable Digital Signal Processors:Architecture,Programming,and Applications,2002.
    [26]K.A.Shaw and W.J.Dally,"Migration in Single Chip Multiprocessors",Computer Architecture Letters,vol.1,pp.2-5,2002.
    [27]X.Cheng,"Heterogeneous Multi-processor SoC:An Emerging Paradigm of Embedded System Design and Its Challenges",LECTURE NOTES IN COMPUTER SCIENCE,2005.
    [28]F.Poletti,A.Poggiali,D.Bertozzi,L.Benini,P.Marchal,M.Loghi,and M.Poncino,"Energy-Efficient Multiprocessor Systems-on-Chip for Embedded Computing:Exploring Programming Models and Their Architectural Support",IEEE TRANSACTIONS ON COMPUTERS,vol.56,issue 5,pp.606-621,2007.
    [29]R.Kumar,D.M.Tullsen,N.P.Jouppi,and P.Ranganathan,"Heterogeneous chip multiprocessors",Computer,vol.38,pp.32-38,2005.
    [30]K.Chang,S.Pamarti,K.Kaviani,E.Alon,X.Shi,T.J.Chin,J.Shen,G.Yip,C.Madden,and R.Schmitt,"Clocking and circuit design for a parallel I/O on a first-generation CELL processor",Solid-State Circuits Conference(ISSCC' 2005),IEEE International,pp.526-615,2005.
    [31]Y.Yuyama,M.Aramoto,K.Kobayashi,and H.Onodera,"An SoC architecture and its design methodology using unifunctional heterogeneous processor array",Proceedings of the 2004conference on Asia South Pacific design automation,pp.737-742,2004.
    [32]J.Tasi,R.Sernec,and M.Zajc,"Efficient SoC design with Homogeneous Processor arrays",Proceedings of SCI'01,2001.
    [33]G.De Micheli,Ⅲ.Fellow,and R.K.Gupta,"Hardware/Software Co-Design",Readings in Hardware/Software Co-Design,2001.
    [34]M.K.Jain,M.Balakrishnan,and A.Kumar,"ASIP Design Methodologies:Survey and Issues",Proceedings of the IEEE/ACM International Conference on VLSI Design(VLS12001),pp.76-81,2001.
    [35]M.Keating and P.Bricaud,Reuse Methodology Manual for System-On-A-Chip Designs,Springer,2002.
    [36]R.Saleh,S.Wilton,S.Mirabbasi,A.Hu,M.Greenstreet,G.Lemieux,P.P.Pande,C.Grecu,and A.Ivanov,"System-on-chip:reuse and integration",Proceedings of the IEEE,vol.94,issue 6.pp.1050-1069.2006.
    [37]C.A.R.Hoare,"MONITORS:AN OPERATING SYSTEM STRUCTURING CONCEPT",The Origin of Concurrent Programming:From Semaphores to Remote Procedure Calls,2002.
    [38]K.C.Tai and R.H.Carver,"VP:a new operation for semaphores," ACM SIGOPS Operating Systems Review,vol.30,pp.5-11,1996.
    [39]J.F.Ready,"VRTX:A Real-Time Operating System for Embedded Microprocessor Applications",IEEE Micro,vol.6,pp.8-17,1986.
    [40]K.Sakamura,"ITRON:An Overview",Tron Project 1987:Open-architecture Computer Systems,Proceedings of the Third TRON Project Symposium,1987.
    [41]F.Balarin,L.Lavagno,and P.Murthy,"Scheduling for Embedded Real-Time Systems",Design and Test of computers,vol.15,issue 1,pp.71-82,1998.
    [42]A.Burns,"Scheduling hard real-time systems:a review," Software Engineering Journal,vol.6,pp.116-128,1991.
    [43]J.W.S.Liu,Real-Time systems,Prentice Hall Upper Saddle River,NJ,2000.
    [44]D.Hildebrand,"An Architectural Overview of QNX",Proceedings of the Workshop on Micro-kernels and Other Kernel Architectures table of contents,pp.113-126,1992.
    [45]D.D.Kandlur,D.L.Kiskis,and K.G.Shin,"HARTOS:a distributed real-time operating system," ACMSIGOPS Operating Systems Review,vol.23,pp.72-89,1989.
    [46]J.A.Stankovic and K.Ramamritham,"The Spring kernel:a new paradigm for real-time operating systems",ACMS1GOPS Operating Systems Review,vol.23,pp.54-71,1989.
    [47]V.Yodaiken and M.Barabanov,"A Real-Time Linux",Proceedings of the Linux Applications Development and Deployment Conference(USELINUX).
    [48]P.Mantegazza,E.L.Dozio,and S.Papacharalambous,"RTAI:Real Time Application Interface",Linux Journal,2000.
    [49]谢铖,“多内核构件化嵌入式操作系统的研究”,浙江大学博士论文,2006.
    [50]C.Xie,W.Chen,and J.Shi,"Pcanel:a model driven component framework",Systems,Man and Cybernetics.2004 IEEE International Conference on.vol.6.2004.
    [51]坂村健,周立功,源码开放的嵌入式实时操作系统T-Kernel.北京航空航天大学出版社,2005.
    [52]高丰,“基于SOC的实时操作系统的研究”,浙江大学博士论文,2002.
    [53]J.L.Hennessy and D.A.Patterson,Computer organization and dessen:the hardware/software interface,Morgan Kaufmann Publishers Inc.San Francisco,CA,USA,1997.
    [54]陈科明,”媒体多处理器系统芯片的设计研究”,浙江大学博士论文,2007.
    [551 R.Rajsuman,“SoC设计与测试”,北京:北京航空航天大学出版社,2003.
    [56]高丰.刘鹏,“一种基于HDTV信源集成解码芯片的RTOS的设计与实现”。电路与系统学报,vol.7,pp.45-49,2002.
    [57]L.I.U.Peng,W.Weidong,and X.Zhibin,"MediaSOC:A System-on-Chip Architecture for Multimedia Application",IEEE International Workshop on VLSI Design and Video Technology(IWVDVT2005),pp.203-206,2005.
    [58]王知学,“嵌入式操作系统调度算法研究”,中国科学院自动化研究所博士学位论文.2003.
    [59]K.Ramamritham and J.A.Stankovic,"Scheduling algorithms and operating systems support for real-timesystems",Proceedings of the IEEE,vol.82,pp.55-67,1994.
    [60]J.E.Cooling,"Task Scheduling in Hard Real-Time Embedded Systems using Hardware Co-processors",Microprocessors and Microsystems,vol.18,pp.571-578,1994.
    [61]J.Lehoczky,L.Sha,and Y.Ding,"The rate monotonic scheduling algorithm:exact characterization andaverage case behavior",Real Time Systems Symposium,pp.166-171,1989.
    [62]J.J.Labrosse,Microc/OS-II:The Real-Time Kernel,2002.
    [63]A.Silberschatz and B.G.Peter,”操作系统概念”,北京:高等教育出版社,2004.
    [64]W.Stallings,Operating systems:internals and design principles,Prentice-Hall,Inc.Upper Saddle River,NJ,USA,1998.
    [65]C.L.Liu and J.W.Layland,"Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment",Readings in Hardware/Software Co-Design,2001.
    [66]T.Nakajima and H.Tezuka,"Virtual Memory Management for Interactive Continuous Media Applications",Proc.of IEEE Int.Conf.on Multimedia Computing and Systems(ICMCS'97),Ottawa,Canada,June,pp.415-423,1997.
    [67]D.Chillet,O.Sentieys,and M.Corazza,"Memory Unit Design for Real Time DSP Applications",Proceedings of the Ninth Great Lakes Symposium on VLSI,pp.260-263,1999.
    [68]J.Hilgenstock,K.Herrmann,and P.Pirsch,"Memory Organization of a Single-Chip Video Signal Processing System with Embedded DRAM",Proceedings of the Ninth Great Lakes Symposium on VLSI,pp.42-45,1999.
    [69]D.Chiou,P.Jain,L.Rudolph,and S.Devadas,"Application-specific memory management for embedded systems using software-controlled caches",Proceedings of the 37th conference on Design automation,pp.416-419,2000.
    [70]Z.Duan,"what makes a good rtos",Real-time magazine,December,1998.
    [71]浙.R&D小组,“32-bit RISC3200处理器设计文档”,2005.
    [72]马也腾,“计算机环境的可移植操作系统界面(POSIX.1)简介”,中国计算机用户,pp.10-13,1991.
    [73]K.Brandenburg and G.Stoll,"ISO-MPEG-1 audio:a generic standard for coding of high-quality digital audio",Journal of the Audio Engineering Society,vol.42,pp.780-792,1994.
    [74]蒋志迪.”可编程媒体处理系统芯片(SoC)结构设计研究”,浙江大学博士论文,2005.
    [75]杨伟建,“面向HDTV信源集成解码芯片的软硬件协同设计”,浙江大学博士学何论文,2001.
    [76]许延,常义林,”基于门限的连续媒体流动态播放算法及其参数研究”,西安电子科技大学学报,vol.30,pp.215-219,2003.
    [77]许延,常义林,刘增基,“多媒体同步系统的缓冲区补偿技术”,计算机学报,vol.26,pp.484-490.2003.
    [78]Y.Yao.Q.Yao,P.Liu.and Z.Xiao,"Embedded software optimization for MP3 decoder implemented on RISC core",Consumer Electronics,IEEE Transactions on,vol.50,pp.1244-1249,2004.
    [79]A.A.Jerraya,O.Franza,M.Levy,M.Nakaya,P.Paulin,U.Ramacher,D.Talla,and W.Wolf,"Roundtable:Envisioning the Future for Multiprocessor SoC",IEEE Design & Test of Computers,vol.24,pp.174-183,2007.
    [80]S.Maeda,S.Asano,T.Shimada,K.Awazu,and H.Tago,"A real-time software platform for the Cell processor",IEEE Micro,vol.25,issue 5,pp.20-29,2005.
    [81]A.Jerraya and W.Wolf."Multiprocessor Systems-on-Chips",IEEE Compute,vol.38,issue 7,pp.36-40.2005.
    [82]J.Lenell and N.Bagherzadeh,"A performance comparison of several superscalar processor models with a VLIW processor",Microprocessors and Microsystems,vol.18.pp.131-9,1994.
    [83]C.Kozyrakis and D.Patterson,"Vector vs.superscalar and VLIW architectures for embedded multimedia benchmarks".Microarchitecture,35th Annual IEEE/ACM International Symposium on,pp.283-293,2002.
    [84]D.Talla,L.K.John,V.Lapinskii,and B.L.Evans,"Evaluating Signal Processing and Multimedia Applications on SIMD,VLIW and Superscalar Architectures",International Conference on Computer Design(ICCD),pp.163-174,2000.
    [85]S.M.T.How,"Simultaneous Multithreading:A Platform for Next-Generation Processors",IEEEMicro,vol.17,issue 5,pp.12-19,1997.
    [86]D.Sweetman,See MIPS Run,Morgan Kaufmann,2006.
    [87]T.Ungerer,B.Robi,and J.ilc,"A survey of processors with explicit multithreading",ACM Computing Surveys(CSUR),vol.35,pp.29-63,2003.
    [88]R.A.Iannucci,Multithreaded Computer Architecture:A Summary of the State of the Art,Springer,1994.
    [89]J.L.Lo,S.J.Eggers,J.S.Emer,H.M.Levy,R.L.Stamm,and D.M.Tullsen,"Converting Thread-Level Parallelism to Instruction-Level Parallelism via Simultaneous Multithreading",ACM Transactions on Computer Systems,vol.15,pp.322-354,1997.
    [90]G.T.Byrd and M.A.Holliday,"Multithreaded processor architectures",Spectrum,IEEE,vol.32,pp.38-46,1995.
    [91]章隆兵,何立强,“同时多线程结构研究综述”,信息技术快报,2004.08.
    [92]J.J.Sharkey and D.V.Ponomarev,"Exploiting Operand Availability for Efficient Simultaneous Multithreading",Computers,IEEE Transactions on,vol.56,pp.208-223,2007.
    [93]D.T.Marr,F.Binns,D.L.Hill,G.Hinton,D.A.Koufaty,J.A.Miller,and M.Upton,"Hyper-Threading Technology Architecture and Microarchitecture",Intel Technology Journal,vol.6,pp.4-15,2002.
    [94]B.G.Haskell,A.Puri,and A.N.Netravali,Digital Video:An Introduction to MPEG-2,Kluwer Academic Publishers,1997.
    [95]R.Hempel."The MPI Standard for Message Passing",Proceedings of the Design,Automation and Test in Europe,International Conference and Exhibition,Munich Germany,April 18-20,1994.
    [96]D.S.Nikolopoulos,"A transparent runtime data distribution engine for OpenMP",Scientific Programming.vol.8,pp.143-162,2000.
    [97]A.E.Eichenberger,K.O'Brien,P.Wu,et al.,"Optimizing Compiler for a CELL Processor",Parallel Architectures and Compilation Techniques,14~(th) International Conference on(PACE 2005),pp.161-172,2005.
    [98]X.Tian,Y.K.Chen,M.Girkar,S.Ge,R.Lienhart,and S.Shah,"Exploring the use of Hyper-Threading technology for multimedia applications with Intel/spl reg/OpenMP compiler",Parallel and Distributed Processing Symposium,2003.
    [99]J.E.Rodrigues and J.E.R.Bezos,"A Graph Model for Parallel computations"[report],1969.
    [100]D.A.Adams,"A Computation Model with Data Flow Sequencing",Stanford University,1968.
    [101]W.B.Ackerman."Data Flow Languages",Computer.vol.15,issue2,pp.15-25,1982.
    [102]A.L.Davis and R.M.Keller,"Data Flow Program Graphs",Computer,vol.15,issue2,pp.26-41,1982.
    [103]T.Agerwala," Data Flow Systems",IEEE Computer,vol.15,issue2,pp.10-13,1982.
    [104]B.Sinharoy and B.Szymanski,"Memory optimization for parallel functional programs",Computing Systems in Engineering,vol.6,pp.415-422,1995.
    [105]E.De Greef,F.Catthoor,and H.De Man,"Memory size reduction through storage order optimization for embedded parallel multimedia applications",Parallel Computing,vol.23,pp.1811-1837,1997.
    [106]S.Y.Liao,S.Devadas,and K.Keutzer,"Code density optimization for embedded DSP processors using datacompression techniques",Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.17,pp.601-608,1998.
    [107]R.G.Babb,"Parallel processing with large-grain data flow techniques",Computer,vol.17,pp.55-61,1984.
    [108]E.Lee and D.Messerschmitt,"Pipeline interleaved programmable DSP's:Synchronous data flow programming",Acoustics,Speech,and Signal Processing,IEEE Transactions on,vol.35,pp.1334-1345,1987.
    [109]E.A.Lee and A.Sangiovanni-Vincentelli,"A framework for comparing models of computation",Computer-Aided Design of Integrated Circuits and Systems,IEEE Transactions on,vol.17,pp.1217-1229,1998.
    [110]G.布奇,麦中凡,ADA软件工程.科学普及出版社,1986.
    [111]邵维忠,杨芙清,面积对象的系统分析.清华大学出版社,1998.
    [112]P.G.Paulin,C.Pilkington,M.Langevin,E.Bensoudane,D.Lyonnard,O.Benny,B.Lavigueur,D.Lo,G.Beltrame,and V.Gagne,"Parallel programming models for a multiprocessor SoC platform applied to networking and multimedia",Very Large Scale Integration(VLSI) Systems,IEEE Transactions on,vol.14,pp.667-680,2006.
    [113]P.van der Wolf,E.de Kock,T.Henriksson,W.Kruijtzer,and G.Essink,"Design and programming of embedded multiprocessors:an interface-centric approach",Proceedings of the 2nd IEEE/ACM/IFIP international conference on Hardware/software codesign and system synthesis,pp.206-217,2004.
    [114]S.Kiran,M.N.Jayram,P.Rao,and S.K.Nandy."A complexity effective communication model for behavioral modeling of signal processing applications",Proceedings of the 40th conference on Design automation,pp.412-415,2003.
    [115]M.Forsell,"A scalable high-performance computing solution for networks onchips",IEEE,Micro,vol.22,issue 5,pp.46-55,2002.
    [116]汪斌,“音频编解码算法及软硬件协同设计研究”,浙江大学博士论文,2004.
    [117]A.B.T.Hopkins and K.D.McDonald-Maier,"Debug support for complex systems on-chip:a review",Computers and Digital Techniques,IEE Proceeding,vol.153,issue 4,pp.197-207,2006.
    [118]M.Winters,C.Inc,and C.A.Cerritos,"Using IEEE-1149.1 for in-circuit emulation",WESCON/94.'Idea/Microelectronics'.Conference Record,pp.525-528,1994.
    [119]S.O'Reilly,"Debugging Drivers with Emulators and Logic Analyzers",Embedded Systems Programming,vol.11,No.2,pp.84-95,1998.
    [120]D.N.Stollon,R.Leatherman,and B.Ableidinger,"Multi-Core Embedded Debug for Structured ASIC Systems".proceedings of DesignCon.Feb..2004.
    [121]K.D.Maier,"On-chip debug support for embedded Systems-on-Chip",Circuits and Systems,Proceedings of the 2003 International Symposium on(ISCAS'03),pp.565-568,vol.5.2003.
    [122]魏勇,“嵌入式交叉调试技术的研究与实现”,电子科技大学硕士学何论文,2004.
    [123]刑文峰,”RISC/DSP系统仿真器的研究”.浙江大学硕士学何论文,2004.
    [124]J.Liu.M.Lajoto,and A.Sangiovanni-Vincentelli."Software timing analysis using HW/SW cosimulation and instructionset simulator",Hardware/Software Codesign,Proceedings of the Sixth International Workshop on(CODES/CASHE'98),pp.65-69,1998.
    [125]R.Stallman and R.Pesch,Debugging with GDB:The GNU Source-level Debugger.Free Software Foundation,2002.
    [126]陈信明,“微处理器之仿真器的软硬件设计方法”,国立中山大学硕士学位论文,2001.
    [127]C.Melear,"Using background modes for testing,debugging and emulation of microcontrollers",The 1997 WESCON Conference,pp.90-97,1997.
    [128]C.M.Maunder and R.Tulloss,The Test Access Port and Boundary-Scan Architecture.IEEE Computer Society Press Los Alamitos,CA,USA,1990.
    [129]Y.Liu,W.H.Wu,X.F.Zhou,and D.Zhou,"A Novel On-chip Debug System with Quick All-registers Scan Chain Based on JTAG",Solid-State and Integrated Circuit Technology,8th International Conference on(ICSICT'06),pp.1941-1943,2006.
    [130]黄海林,范东睿,许彤,朱鹏飞,郑保建,曹非,陈亮,“嵌入式处理器在片调试功能的设计与实现”,计算机辅助设计与图形学学报,vol.18,No.7,pp.1005-1010,2006.
    [131]A.Mayer,H.Siebert,and K.D.McDonald-Maier,"Debug support,calibration and emulation for multiple processor and powertrain control SoCs",IEEE Design,Automation and Test in Europe,pp.148-152,Vol.3,2005.
    [132]X.Hu,"TraceDo:An On-Chip Trace System for Real-Time Debug and Optimization in Multiprocessor SoC",ISPA'06,Italy,Dec,2006.
    [133]R.Stence,"A new development tool standard with the IEEE-ISTO",Computer Design,International Conference on(ICCD'99),pp,499-502,1999.
    [134]A.B.T.Hopkins and K.D.McDonald-Maier,"Debug support for embedded processor reuse",Circuits and Systems,IEEE International Symposium(ISCAS).pp.1455-1458.2006
    [135]A.B.T.Hopkins and K.D.McDonald-Maier,"Debug support strategy for systems-on-chips with multiple processor cores",IEEE transactions on computers,vol.55,No.2,pp.174-184.2006.
    [136]w.Orme.“建立多核SoC设计中的Debug和Trace标准”,电子设计技术,2006.08.
    [137]H.Vranken."Debug Facilities in the TriMedia CPU64 Architecture".Journal of Electronic Testing,vol.16,pp.301-308,2000.
    [138]王玥,“嵌入式SoC可调试设计的研究”,浙江大学硕士学何论文,2007.
    [139]R.Leatherman and N.Stollon,"An embedding debugging architecture for SOCs",Potentials,IEEE,vol.24,issue 1,pp.12-16,2005.
    [140]E.Moerman,S.Bocq,J.Verfaillie,J.A.Design Departement,A.F,N.Div,and B.Antwerp,"Debug architecture for system on chip taking full advantage of the test access port",European Test Workshop,The Eighth IEEE Proceedings,pp.155-159,2003.
    [141]M.Gschwind,H.P.Hofstee,B.Flachs,M.Hopkins,Y.Watanabe,and T.Yamazaki,"Synergistic Processing in Cell's Multicore Architecture",IEEE Micro.vol.26,issure2,pp.10-24,2006.
    [142]吴皓.刘鹏.王维东,蔡钟,姚庆栋,“媒体处理器软硬件协同仿真验证平台”,浙江大学学报(工学版),vol.39,No.3,pp.348-353,2005.
    [143]M.A.Tsoukarellas,V.C.Gerogiannis,and K.D.Economides,"Systematically testing a real-time operating system",IEEE Micro,vol.15,issue 5,pp.50-60,1995.
    [144]EJTAG Specification,"Revision 2.6",Document Number MD00047,http://www.mips.com
    [145]M.Gschwind,D.Erb,S.Manning,and M.Nutter,"An Open Source Environment for Cell Broadband Engine System Software", Computer Magazine, vol. 40, issue 6, pp. 37-47,2007.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700