嵌入式系统节能调度算法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
对于电池供电的嵌入式设备来说,低能耗是一个关键设计指标,嵌入式低能耗研究有着广阔的应用前景和重要的应用价值,逐渐引起工业界和学术界的高度关注。本文研究了嵌入式系统的节能调度问题。针对嵌入式系统中具有严格执行时限要求的周期性任务,提出了四种节能调度算法。还针对无线传感器网络,提出了三维空间K虚拟栅栏覆盖节能调度算法。
     对于电压可变的处理器,已有研究考虑了理想的具有连续可变电压的处理器模型,而真实的可变电压处理器仅具有离散的电压等级。动态电压缩放(Dynamic Voltage Scaling,DVS)是一个有效的节能技术,它通过降低处理器运行时的电压来节能。但是,降低电压的同时会导致任务执行时间增加,因此需要优化延迟和能耗这对互为矛盾的指标。
     对于具有离散电压等级的单处理器,本文首先提出了一种最优电压选择算法,使得在不违背给定应用执行时限的前提下系统能耗最少。与已有启发式算法不同,最优电压选择算法将该节能调度问题转化为多选择背包问题的变种,然后采用动态规划方法求得最优解。更进一步,由于在处理器上调度任务时,电压切换会引起额外的跃迁代价,影响系统的延迟和能耗,因此又提出了一种改进的单处理器节能调度算法,该算法考虑了离散电压模型、动态能耗,以及电压跃迁代价。对于多处理器MPSoC架构上的任务,传统任务调度算法关注并行化的挖掘以提高系统吞吐率,降低延迟。现在,MPSoC架构已被广泛的应用到嵌入式系统中,像多媒体和网络处理等计算密集型的嵌入式应用,对能耗和延迟都很关注,因而对任务调度算法提出了新的挑战。针对运行在MPSoC架构上的实时嵌入式应用,提出了两种两阶段的基于重定时的节能调度算法,它们将充分发掘MPSoC架构的并行潜力,并且和减少能耗关联起来考虑,既满足了应用执行时限的要求,又达到了降低应用能耗的目标。在设计算法时,两个算法第一阶段都采用重定时技术进行任务并行化,将一个迭代周期内的迭代内依赖关系转化成迭代间的依赖关系,从而减少了由于迭代内依赖关系和处理器间通信所导致的空闲时隙。这些赢得的空闲时隙在第二个阶段所利用以进行能量优化。在第二个能量优化阶段,第一个算法是模拟弹簧行为的启发式节能调度算法,它考虑了动态能耗和静态能耗。更进一步,由于影响系统能耗的因素很多,这些因素对能耗的影响又是错综复杂的,所以本文又提出了第二个基于遗传算法的节能调度算法,该算法考虑了多种能耗相关的因素,如动态能耗、静态能耗、电压跃迁代价、处理器间通信代价等因素,设计了染色体的基因编码方式、适度函数、交叉算子等。该算法可以充分发掘多处理器MPSoC架构的潜力以及现代芯片的节能特性,实现对能耗和性能的多目标优化。
     无线传感器网络是典型的分布式嵌入式系统,以上所提出的系统级的节能调度算法在每一个传感器硬件节点上同样适用。但是对于传感器网络,不仅应该关注每一个节点的能耗,还应该从整个网络协同工作角度出发考虑节能。因此,本文还研究了无线传感器网络三维空间栅栏覆盖中的节能问题。研究表明,单个虚拟栅栏覆盖的节点睡眠调度算法是NP-Hard问题,本文提出了单个虚拟栅栏覆盖调度算法求得近似解。在此基础上,又提出了K-虚拟栅栏覆盖调度算法来最优化K-虚拟栅栏调度,使得在同一时刻,在满足传感检测范围的前提下,让最少数量的传感器节点交替工作,既满足网络覆盖要求,又减少能耗,延长了传感器网络的生命周期。
For battery-based embedded systems, low power is an important performance metric, thus, how to save energy and extend the systems’life time is a key challenge. Low power in embedded systems has promising and valuable applications and more and more researchers from industry and academic have conducted research in this area. The problem of energy-efficient scheduling in embedded systems is studied in this thesis. For hard real-time periodic tasks on embedded systems, four energy-efficient scheduling algorithms are presented in this paper. Furthermore, we present two energy-efficient algorithms for the 3D coverage problem in wireless sensor networks. For modern variable voltage processors, existing research work considers the ideal processor model with continuous variable voltage. However, actual processor model only have discrete voltage levels. Dynamic voltage scaling (DVS) is an efficient energy-saving technique by reducing processor running voltage. However, the lower voltage will lead to the more execution time, therefore, how to balance latency and power is a key challenge.
     For actual variable voltage processor with discrete voltage levels, first, this paper presents an optimal voltage selection algorithm, which can obtain the minimum energy consumption. Different from the existing heuristics, we first formulize the voltage selection problem as a variation of the multiple choice knapsack problem, and then present a dynamic programming algorithm to achieve the optimal solution. Furthermore, recent research work shows that the adjacent voltage transition on a processor can produce extra transition overhead that will affect the system’s latency and energy. Therefore, we present another improved energy-efficient scheduling algorithm for single processor. This algorithm considers discrete voltage model, DVS, and the overhead of voltage transition.
     For multiple processors, traditional task scheduling algorithms focused on exploring parallel potential so as to promote throughput and reduce latency. Nowadays, MPSoC architecture has been widely used in embedded systems. Multimedia and network processing applications are typical computing-intensive embedded applications. These applications require low power and low latency simultaneously, which is a key challenge for nowadays task scheduling techniques. We present two energy-efficient scheduling algorithms based on the retiming technique. The idea is to explore more parallel potential for the gain of energy, thus to obtain the multi-objective optimization of latency and energy. First, we present a task parallel algorithm based on retiming technique. The algorithm transforms the intra-task dependency in one iteration into intra-task dependency in different iterations, in other words, this algorithm makes the tasks in the same iteration to be independent each other, thus it can reduce the idle time slot caused by inter-task data dependency or processor communications. Based on this step, we present two energy-efficient scheduling algorithms. The first is a heuristic one that simulates the behavior of spring to generate the schedule. This algorithm considers dynamic power and static power. Furthermore, due to the system energy is affected by multiple factors and the relationships between these factors are complicated, we present another genetic energy-efficient scheduling algorithm. In this algorithm, according to dynamic power, static power, voltage transition overhead, inter-processor communication overhead, and so on, we design the gene coding of chromosome, the fitness function, the crossover operator etc. This algorithm can fully explore the potential of MPSoC architecture and the low power optimization techniques to obtain the multi-objective optimization on latency and energy.
     Wireless sensor networks (WSNs) is also typical distributed embedded system, all the algorithms presented above is adapted to one sensor node. However, in WSNs, besides the energy consumption of one node, we must consider energy saving from the whole network angle by design scheduling algorithm to obtain a good network node collaborative results. Thus, we study the energy-saving on barriers coverage problem in 3D space. Existed research shows that single virtual barriers coverage problem in 3D space is NP-hard problem. We present a single virtual barrier coverage sleep-wakeup scheduling algorithm, namely maximum virtual grid covers algorithm, to solve this problem. Based on this, we present a K-virtual barriers coverage sleep-wakeup scheduling algorithm to achieve optimal barrier partition to solve the K-virtual barriers coverage problem in 3D space. This algorithm divides the sensor nodes into different partitions, and each node set satisfies monitor scope at one moment. This algorithm scheduling these nodes set alternatively, thus can reduce the network whole energy consumption and extend the life time of the WSNs.
引文
[1] Chandrasena L H, Chandrasena P, Liebelt M. An energy efficient rate selection algorithm for voltage quantized dynamic voltage scaling. Proc of the 14th international symposium on Systems synthesis. New York: ACM, 2001: 124–129.
    [2] Luca Benini, Member, IEEE, Alessandro Bogliolo, Member, IEEE, and Giovanni De Micheli, Fellow, IEEE, A Survey of Design Techniques for System-Level Dynamic Power Management, IEEE TRANSACTIONS ON VERY LARGE SCALE INTEGRATION (VLSI) SYSTEMS, VOL. 8, NO. 3, JUNE 2000
    [3] Zhong Xiliang, Xu Chengzhong. Frequency-aware energy optimization for realtime periodic and aperiodic tasks. Proc of the 2007 ACM SIGPLAN/SIGBED conference on Languages, compilers,and tools. New York: ACM, 2007: 21– 30.
    [4] Shao Zili, Wang Meng, Chen Ying, et al. Real-Time Dynamic Voltage Loop Scheduling for Multi-Core Embedded Systems. IEEE Transactions on Circuits and Systems II (TCAS-II), 2007, 54(5): 445 - 449.
    [5] Aydin H, Melhem R G, Mosse D, et al. Determining optimal processor speeds for periodic real-time tasks with different power characteristics. Proc of the IEEE EuroMicro Conference on Real-Time Systems. Netherlands: IEEE, 2001: 225–232.
    [6] Kwon W C, Kim T. Optimal voltage allocation techniques for dynamically variable voltage processors. ACM Transactions on Embedded Computing Systems, 2005, 4(1): 211-230.
    [7] Advanced Micro Devices. Technique Report 24319. Mobile AMD Athlon 4 Processor Model 6 CPGA Data Sheet [S]. USA,2001.
    [8] Martin S, Flautner K,Mudge T, et al. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. Proc of the 2002 IEEE/ACM international conference on Computer-aided design. New York: ACM, 2002:721–725.
    [9] Kellerer H, Pferschy U, Pisinger D. Knapsack Problems. New York: Springer Verlag, 2004: 1-546.
    [10] Dick. R. E3S benchmark suite [OL]. http://www.ece.northwestern.edu/ dickrp/e3s/.
    [11] R. Sasanka, M. Li, S. Adve, Y.-K. Chen, and E. Debes. Alp:Efficient support for all levels of parallelism for complex media applications. ACM Transactions on Architecture and Code Optimization, 4(1), 2007.
    [12] W.R.Mark, R.S.Glanville, K.Akeley, and M.J.Kilgard. Cg: A system for programmng graphics hardware in a c-like language. In Proc. of the SIGGRAPH, 2003.
    [13] M. Gordon, W. Thies, and S. Amarasinghe. Exploiting coarse-grained task, data, and pipeline parallelism in stream programs. In ASPLOS, pages 151–162, 2006.
    [14] I. Buck, T.Foley, D.Hom, J.Sugerman, K.Fatahalian, M.Houston, and P.Hanrahan. Brook for gpus: Stream computing on graphics hardware. In Proc. of the SIGGRAPH, 2004.
    [15] A. Andrei, M. Schmitz, P. Eles, Z. Peng, and B. A. Hashimi. Overheadconcious voltage selection for dynamic and leakage energy reduction in time-constrained systems. In DATE 2004, pages 518–523, 2004.
    [16] J. Luo and N. K. Jha. Power-efficient scheduling for heterogeneous distributed real-time embedded systems. In IEEE Trans. on Computer-Aided Design, June 2007.
    [17] A. Andrei, M. Schmitz, P. Eles, Z. Peng, and B. A. Hashimi. Overheadconcious voltage selection for dynamic and leakage energy reduction in time-constrained systems. In DATE 2004. pages 518–523. 2004.
    [18] L. Yan, J. Luo, and N. K. Jha. Joint dynamic voltage scaling and adaptive body biasing for heterogeneous distributed real-time embedded systems. IEEE Trans. on Computer-Aided Design of Intergrated Circuits and Systems, 24(7):1030–1041, July 2005.
    [19] S. Martin, K. Flautner, T. Mudge, and D. Blaauw. Combined dynamic voltage scaling and adaptive body biasing for lower power microprocessors under dynamic workloads. In ICCAD-02, pages 721–725, 2002.
    [20] R. Jejurikar, C. Pereira, and R. Gupta. Leakage aware dynamic voltage scaling for real-time embedded systems. In DAC’04, 2004.
    [21] K. Srinivasan and K. S. Chatha. Integer linear programming and heuristic techniques for system-level low power scheduling on multiprocessor architectures under throughput constraints. INTEGRATION the VLSI journal, 40:326–354, 2007.
    [22] Q. Zhuge, B. Xiao, and E. H.-M. Sha. Code size reduction technique and implementation for software-pipelined dsp applications. TECS, 2(4):1–24, Nov. 2003.
    [23] tgff. http://ziyang.ece.northwestern.edu/tgff/.
    [24] R. Jejurikar and R. K. Gupta. Dynamic voltage scaling for system wide energy minimization in real-time embedded systems. In Proc. of the Int’l Symp. on Low-Power Electronics and Design, pages 78–81, 2004.
    [25] K. Srinivasan and K. S. Chatha. Integer linear programming and heuristic techniques for system-level low power scheduling on multiprocessor architectures under throughput constraints. INTEGRATION the VLSI journal, 40:326–354, 2007.
    [30]周宽久,迟宗正,西方.嵌入式软硬件低功耗优化研究综述.《计算机应用研究》2010年2期
    [31]王力生,郭振轲.基于DVS的实时多核嵌入式系统低功耗算法.《计算机应用研究》2009年1期
    [30] J.M. Kahn, R.H. Katz, K.S. Pister. Next Century Challenges: Mobile Networking for“Smart Dust”. In:Mobicom’99,Settle Washington USA,1999.271-278.
    [32] N.N Qin,B.G. Xu, An attacking track in wireless sensor network.In: ChinaCOM 2006, Beijing, China, 2006.
    [33]李建中,李金宝,石胜飞.传感器网络及其数据管理的概念、问题与进展.软件学报,2003,14,(10):1717-1727.
    [34] M Cardei, J Wu.Coverage in Wireless Sensor Networks. Hand book of Sensor Networks. CRC Press.2004.
    [35] B Liu, D Towsley. A study of the Coverage of large-scale sensor networks. In:IEEE International Conference on Mobile Ad-hoc and Sensor Systems(MASS), 2004.475-483.
    [36] G Wang, Gg Cao, T LaPorta. A bidding protocol for deploying mobile sensors. In:IEEE Interantional Conference on Network Protocols(ICNP),2003.315-324.
    [37] J O’Rourke. Art gallery theorems and algorithms. Inc. New York, NY, USA: Oxford University Press, 1987.
    [38]任彦,张思东,张宏科.无线传感器网络中覆盖控制理论与算法.软件学报, 2006,17(3) :422-433.
    [39]蒋杰,无线传感器网络覆盖控制研究[D]:[博士学位论文].国防科学技术大学,2005.9.
    [43]http://cesl.ee.tsinghua.edu.cn/flows/home.html
    [45] D W Gage. Command control for many-robot system. In: Proceedings of the 19th Annual AUVS Technical Symposium(AUVS’92),Hunstville Alabama, USA,1992
    [46] S. Meguerdichian, F.Koushanfar, G. Qu, et al. Exposrue in wireless ad hoc sensor networks.In:Proc.ACM International conference on Mobile Computing and Networking (Mobicom). Rome, Italy,2001.139-150.
    [47] X Li, P Wan, O Frieder. Coverage in Wireless Ad-hoc Sensor Networks. IEEE Transactions on Computers, 2003, 52(6):753-763.
    [48] G Veltri, Q Huang, G Qu, et al. Minimal and maximal exposure path algorithms for wireless emended sensor networks. In: proceedings of ACM Int’l SENSYS, L.A., Calif., USA, 2003.40-50.
    [49] S Adlakha, M Srivastava.Critical density thresholds for coverage in wireless sensor networks.In: Proceedings of IEEE WCNC’2003 , New Orleans , Louisiana , USA , 2003:1615-1620.
    [50] S Kumar, T HLai,A Arora.Barrier Coverage with wireless sensors. In: MobiCom’05,Germany,2005.1-15.
    [51] S Kumar,TH Lai,J Balogh.On k-coverage in a mostly sleeping sensor network. In: ACM International Conference on Mobile Computing and Networking (MobiCom), 2004, 114-l 58.
    [52] B Liu,D Towsley.On the Coverage and Detectability of large-scale Wireless Sensor Network. In:Proceedings of WiOpt’03:Modeling and optimization in Mobile,Ad Hoc and Wireless Networks,INRIA Sophia-Antipolis,France,2003.
    [53] B Liu,D Towsley.A Study of the Coverage of Large-scale Sensor Networks. In:Proceedings of the l st IEEE International Conference on Mobile Ad-hoc and Sensor Systems (MASS’04),Florid,USA,2004.
    [54] M.R.Garey and D.S.Johnson,Computers and Intractability:A Guide to the Theory of NP Completeness,W.H.Freeman and Company,1979.
    [55] J.Ullman, NP·Complete Scheduling Problems. Journal of Computer and System Sciences,10,1975,PP.384.393.
    [56] J.Liou ,and M.Palls,A Comparison of General Approaches to Mulfiprocessor Scheduling. Proc.Int’l Parallel Processing Syrup.PP.152-156.1997.
    [57] D . Feitelson,L . Rudolph,U . Schwiegelshohm , K . Sevcik,and EWong, theory and Practice in Parallel Job Scheduling. JSSPP, PP.1-34,1997.
    [58] KIM N S, AUSTIN T, BLAAUW D, et al. Leakage current: Moore’s law meets static power. Computer, 2003, 36(12):68-75.
    [59]骆祖莹,潘月斗.CMOS电路晶体管级功耗优化方法.计算机研究与发展,2008,45(4):734-740.
    [60]PANDA P R, DUTT N, NICOLAU A. Efficient utilization of scratch pad memory in embedded processor applications. Proc of Confe- rence on Design, Automation and Test in Europe. 1997.
    [61]SU Ching-long, TSUI Chi-ying, DESPAIN A M. Low power architecture design and compilation techniques for high-performance processors. Proc of COMPCON.1994:489-498.
    [62]陈嘉,董渊,杨阳,等.基于指令聚类与指令调度的嵌入式软件功耗优化研究.小型微型计算机系统,2006,27(1):175-179.
    [63]V.Sarkar, Partitioning and Scheduling of Parallel Programs for Multiprocessors.Research Monographs in Parallel Computing,Mrr Press,1989
    [64] S.Chen,MM Eshaghian,and Y Wu,Mapping arbitrary non—uniform task graphs onto arbitrary non—uniform system graphs. In 1995 International Conference on Parallel Processing,AugIIst 1995
    [65]Yang and A.Gerasoulis,DSC:Scheduling Parallel Tasks on all Unbounded Number of Processors. IEEE Trans.Parallel Distributed System,Sep 1994
    [66] Thomas LAdam,K Mani Chandy,JR Dickson,AComparison of List Schedules for Parallel Processing Systems.CACM 17(12): 685-690(1974)
    [67] Baruah S.Task partitioning upon heterogeneous muhiprocessor platform.Proc of the 10th IEEE RealTime and Embedded Technology and Applications Symposium.Los Alamitos,CA:IEEE Computer Society Press,2004 I 536-543
    [68] Funk Shelby,Baruah S.Task assignment on uniform heterogeneous muhiproceseors. Proc of the Euromicro Conf on Real—Time Systems.IEEE Computer Society Press-2005:219-226
    [69] Baruah S Feasibility analysis of preemptive real-time systems upon heterogeneous multiprocessor platforms 2004
    [70]TIWARI V, MALIK S, WOLFE A. Compilation techniques for low energy: an overview. Proc of IEEE Symposium on Low Power Electronic.1999:38-39.
    [71]陈燕,董世娜,赵宏杰.影响电解电容器漏电流的因素.电子产品可靠性与环境试验,2007,25(6):64-66.
    [72] Baruah S.Fisher Nathan The partitioned multiprocessor scheduling of deadline-constrained sporadic task systems 2006(07)
    [73]YOU Yi-ping, LEE Chin-gren, LEE J K. Compilers for leakage power reduction. ACM Trans on Design Automation of Electronic Systems, 2006,11(1):147- 164.
    [74]赵晓莺,易江芳,佟东,等.利用遗传算法实现CMOS组合电路静态功耗优化.北京大学学报:自然科学版,2007,43(3):421-427.
    [75]贺小川,贾焰.抢占阈值调度的功耗优化.计算机学报,2008,31(11):2060-2071.
    [76]张承义,张民选.片内二级Cache的静态功耗优化技术研究.计算机工程与科学,2007,29(3):77-79,90.
    [77]张承义,张民选,邢座程.组相联Cache中漏流功耗优化技术研究.小型微型计算机系统,2007,28(2):372-375.
    [78] Gopalakrishnan S.Caccamo M Task partitioning with replication upon heterogeneous multiprocessor systems 2006
    [79]LORENZ M, MARWEDEL P, DRAGER T, et al. Compiler based exploration of DSP energy savings by SIMD operations. Proc of the Asia and South Pacific Design Automation Conference. Pisca- taway:IEEE Press, 2004:838-841.
    [80]KOZYRAKIS C, PATTERSON D. Vector v s. superscalar and VLIW architectures for embedded multimedia benchmarks. Proc of the 35th International Symposium on Microarchitecture. Los Alamitos:IEEE Computer Society, 2002:283-293.
    [81] Hsu Heng-Ruey.Chen Jian-Jia.Kuo Tei-Wei, Multiprocessor synthesis for periodic hard real-time tasks under a given energy constraint 2006
    [82]LORENZ M, WEHMEYER L, DRAGER T. Energy aware compilation for DSPs with SIMD instructions. ACM SIGPLAN Notices, 2002,37(7):94-101.
    [83]LORENZ M, KOFFMANN D, BASHFORD S, et al.Optimized address assignment for DSPs with SIMD memory accesses. Proc of Asia South Pacific Design Automation Conference. Piscataway:IEEE Press,2001:415-420.
    [84]AHO A V, GANAPATHI M, TJIANG S W K. Code generation using tree matching and dynamic programming. ACM Trans on Programming Languages and Systems , 1989 ,11(4):491-516.
    [85] LEUPERS R. Code selection for media processors with SIMD instructions. Proc of the Conference on Design Automation and Test. 2000:4-8.
    [86]YUN H S, KIM J. Power-aware modulo scheduling for high perfor- mance VLIW processors. Proc of International Symposium on Low Power Electronics and Design. 2001:40-45,681.
    [87]RAU B R. Iterative modulo scheduling: an algorithm for software pipelining loops. Proc of the 27th Annual International Symposium on Microarchitecture. 1994:63-74.
    [88] Annie S Wu.Han Yu.Shiyuan Jin An incremental genetic algorithm approach to multiprocessor scheduling 2004(09)
    [89] Krzysztof Rzadca.Franciszek Seredynski.Heterogeneous muhiprocessor scheduling with differential evolution, Proc of the 2005 IEEE Congress on Evolutionary Computation.Piscataway-NJ:IEEE Press·2005.3:2840—2847
    [90]CONZALEZ R, HOROWITZ M. Energy dissipation in general purpose microprocessor. IEEE Journal of Solid State Circuits, 1996,31(9):1277-1284.
    [91]VIJAYKRISHNAN N, KANDEMIR M, LRWIN M J, et al. Energy-driven integrated hardware-software optimization using simple power. Proc of the 27th International Conference on Computer Architecture. 2000:95-106.
    [92]夏宏,苏林萍.Cache低功耗技术研究.计算机工程与应用,2005,41(23):118-120.
    [93]KANDEMIR M, VIJAYKRISHNAN N, IRWN M J,et al. Influence of compiler optimizations on system power. Proc of the 37th Design Automation Conference. 2000:304- 307.
    [94]方亮,肖斌,柴亦飞,等.一种低功耗可重构Cache的重构算法[J].计算机工程与设计,2006,27(20):3894-3897,3937.
    [95]蒋湘涛,胡志刚,贺建飚.基于调用链分析的低功耗编译优化[J].吉林大学学报,2009,39(1):143-147.
    [96]金晶,浦汉来,凌明.面向功耗优化的片上存储器分配策略[J].应用科学学报,2006,24(2):193-198.
    [97]MEHTA H, OWENS R M, IRWIN M J, et al. Techniques for low energy software. Proc of International Symposium on Low Power Electronics and Design. 1997:72- 75.
    [98]GEBOTYS C H. Low energy memory and register allocation using network flow. Proc of the 34th Annual Conference on Design Automation. 1997:435-440.
    [99]ZHANG Yun-min, HU Xiao-bo, CHEN D Z. Efficient global register allocation for minimizing energy consumption. ACM SIGPLAN Notices, 2002, 37(4):42-53.
    [100] Anna Swiecicka,Franciszek Seredynski,Albert Y Zomaya.Multiprocessor scheduling and rescheduling with use of cellular automata and artificial immune system support.IEEE Trans on Parallel and Distributed Systems.2006.1 7(3):253-262
    [101]YANG Hong-bo, GAO G R, MARQUEZ A, et al. Power and energy impact by loop transformations. Proc of the Workshop on Compilers and Operating Systems for Low Power. 2001.
    [102]江毛进,陆鑫达,陈杰.编译中的循环优化.上海交通大学学报,1996,30(6):20-27.
    [103] Mejia-Alvarez P, Levner E, MosséD. Adaptive scheduling server for power-aware real-time tasks. ACM Transactions on Embedded Computing Systems, 2004, 3(2): 284–306.
    [104] Pillai, Padmanabhan and Shin, Kang G., Real-time dynamic voltage scaling for low-power embedded operating systems, ACM SIGOPS Operating Systems Review, Volume 35 , Issue 5 (December 2001)
    [105] Kim, W. and Kim, J. and Min, S., A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis, Proceedings of the conference on Design, automation and test in Europe 2002, P788, Washington, DC, USA.
    [106]Xie Yuan.Hung Wei-lun Temperature-aware task allocation and scheduling for embedded multiprocessor systems-on-chip (MPSOC) design 2006(03)
    [107] N. K. Jha. Low power system scheduling and synthesis. In Proc. of the ICCAD, 2001.
    [108] K. Choi, W. Lee, R. Soma, and M. Pedram. Dynamic voltage and frequency scaling under a precise energy model considering variable and fixed components of the system power dissipation. In Proc. of the Intl Conf. on Computer-Aided Design, page 29C34, 2004.
    [109] F.Gruian and K.Kuchcinski. Lenes: task scheduling for lower-energy systems using variable supply voltage processors. In ASP-DAC, pages 449–455, 2001.
    [110] T. Ishihara and H. Yasuura. Voltage scheduling problem for dynamically variable voltage processor. In ISLPED, pages 197–202, 1998.
    [111] C.-M. Hung, J.-J. Chen, and T.-W. Kuo. Energy-efficient real-time task scheduling for a dvs system with a non-dvs processing element. In Proc. of the 27th IEEE Real-Time Systems Symposium (RTSS), 2006.
    [112] Y.Shin, K.Choi, and T.Sakurai. Power optimization of real-time embedded systems on variable speed processors. In Proc. of 2000 IEEE/ACM International Conference on Computer-Aided Design, pages 365–368, 2000.
    [113] B. C. Mochocki, X. S. Hu, and G. Quan. A unified approach to variable voltage scheduling for noideal dvs processors. TCAD, 23(9):1370–1377, Sep. 2004.
    [114] L. Niu and G. Quan. System wide dynamic power management for multimedia portable devices. In IEEE International Symposium on Multimedia (ISM), 2006.
    [115] Y. Zhang, X. Hu, and D. Z. Chen. Task scheduling and voltage selection for energy minimization. In Annual ACM IEEE Design Automation Conference, pages 183–188, 2002.
    [116] D. Shin, J. Kim, and S. Lee. Intra-task voltage scheduling for low energy hard real-time applications. IEEE Design & Test of Computers, 18(2):20–30, 2001.
    [117] D. Shin, J. Kim, and S. Lee. Low-energy intra-task voltage scheduling using static timing analysis. In DAC, pages 438–443, 2001.
    [118] C. Kim and K. Roy. Dynamic vth scaling scheme for active leakage power reduction. In Design, Automation and Test in Europe Conf.(DATE02), pages 163–167, 2002.
    [119] A. Andrei, M. Schmitz, P. E., Z. Peng, and B. M. Al-Hashimi. Overhead-conscious voltage selection for dynamic and leakage energy reduction of time-constrained systems. In DATE’04: Proceedings of the conference on Design, automation and test in Europe, page 10518, Washington, DC, USA, 2004.
    [120] X. Zhong and C. Xu. Frequency-aware energy optimization for realtime periodic and aperiodic tasks. In LCTES, 2007.
    [121] H. Aydin and Q. Yang. Energy-aware patitioning for multiprocessor real-time systems. In Proc. of the 17th International Parallel and Distributed Processing Symposium (IPDPS), pages 113–121, 2003.
    [122] J.-J. Chen and T.-W. Kuo. Energy-efficient scheduling of periodic realtime tasks over homogeneous multiprocessors. In the 2nd International Workshop on Power-Aware Real-Time Computing, pages 30–35, 2005.
    [123] S. Hua and G. Qu. Voltage setup problem for embedded systems with multiple voltages. IEEE Trans. on Very Large Scale Integration (VLSI) Systems, 13(7):869–872, 2005.
    [124] Trimaran. http://www.trimaran.org/index.shtml
    [125] StreamIT. http://groups.csail.mit.edu/cag/streamit/
    [126] Baruah S.Chen D.Gorinsky S Generalized multifraem tasks 1999(01)
    [127] Baruah S Dynamic-and static-priority scheduling of recurring real-time tasks 2003(01)
    [127]李仁发,刘彦,徐成.多处理器片上系统任务调度研究进展评述.《计算机研究与发展》45(9):1620-1629, 2008
    [128] Gruian, F. and Kuchcinski, K. 2001. Lenes: task scheduling for low-energy systems using variable supply voltage processors. In Proceedings of the 2001 Asia and South Pacific Design Automation Conference (ASP-DAC’01). ACM, New York, NY, USA, 449–455.
    [129] Luo, J. and Jha, N. K. 2000. Power-conscious joint scheduling of periodic task graphs and aperiodic tasks in distributed real-time embedded systems. In Proceedings of the 2000 IEEE/ACM international conference on Computer-aided design (ICCAD’00). IEEE Press, Piscataway, NJ, USA, 357–364.
    [130] Zhang, Y., Hu, X. S., and Chen, D. Z. 2002. Task scheduling and voltage selection for energy minimization. In Proceedings of the 39th annual Design Automation Conference (DAC’02). ACM, New York, NY, USA, 183–188.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700