用户名: 密码: 验证码:
基于GALS NoC的异步片上通信链路技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着集成电路工艺的发展,单个芯片上集成了越来越多的复杂的功能电路。采用同步电路设计的多核SoC和片上网络(network on chip, NoC)面临由全局时钟引起的时钟偏斜、时钟抖动、时钟功耗以及设计复杂度等一系列问题,因而全局异步、局部同步(GALS)的设计思想成为目前高性能片上系统,尤其是片上网络的有效设计方法。GALS NoC可集成同步IP核和异步IP核,异步IP核内各模块的数据处理与传输采用异步流水线设计,而对于同步IP核,则通过异步封装将其产生的同步数据转换为异步数据。此时,芯片上各IP核之间通过异步传输链路进行不同速率下的数据传输。因此,基于不同的异步传输协议设计的异步流水线及片上通信链路将会对GALS NoC的IP核设计和性能产生不同的影响。本文对异步IP核内具有数据处理功能的通信链路即异步流水线,以及各IP核间具有数据传输功能的通信链路进行了研究,主要成果如下:
     1.针对四相双轨流水线存在的吞吐量及功耗等性能问题提出了一种并行完备流水线。该流水线以串并结合的工作方式提升吞吐量,同时采用独特的阈值门降低流水线空周期时的静态功耗,可用于异步IP核内具有数据处理功能的通信链路设计。
     2.针对异步传输链路的通信冲突问题,本文提出了一种延时无关的异步动态优先级仲裁器。通过比较请求数据包的优先级,可以实现在一个仲裁周期内按优先级高低输出,若优先级相同,则顺序输出,可有效解决片上传输链路中数据包冲突。
     3.对异步传输链路的协议转换进行了详细的研究。提出了单通道协议与四相双轨协议、四相捆绑协议以及两相LEDR协议之间的转换电路,以实现两类协议间灵活、方便的使用。
     4.针对长距离片上传输链路,提出了一种自应答高速异步双轨推通道。该通道采用独立传输链路,避免了复杂的时序设计,并降低传输链路间的干扰。同时,以提出的协议转换电路和通道单元为基础,设计了两相自应答异步片上传输链路,且只要改变协议转换电路,提出的自应答片上传输链路即可与现有的异步协议接口。与两相LEDR协议传输链路比较结果显示,本论文提出的两相自应答通信链路在吞吐量、功耗、面积各方面具有更好的性能。
     5.以优化芯片的面积、功耗及互连资源为目标,提出了可与现有协议接口的自应答串行化传输链路。与自应答并行传输链路相比,具有更小的面积和功耗、更少的互连线数,节省了布线资源,降低了线间串扰,提高了通信可靠性,适合于低功耗的片上通信设计。
With the development of integrated circuit process, more and more complexfunctions can be integrated into one chip. The synchronous MPSoC and NoC face seriesof issues such as clock skew, clock jitter and power consumption caused by the globalclock signal. The global asynchronous and locally synchronous (GALS) design methodhad been proposed and become effective way to design high-performance MPSoC,especially for NoC. The GALS integrate asynchronous IP cores and synchronous IPcores. The modules in asynchronous IP cores use asynchronous pipeline as dataprocessing and transmission link. The synchronous IP cores translate the synchronousdata into asynchronous data via wapper. The IP cores use asynchronous on-chipcommunication link to communicate and exchange data. Thus, the asynchronouspipeline and asynchronous on-chip communication link based on different protocols andways will have an effect on the performance of the GALS NoC. This thesis mainlystudies on-chip communication link with data processing in asynchronous IPcores, anddata transmission on-chip communication link between IP cores. The contributions ofthis dissertation are as follows:
     1. The pipeline based on parallel completion is proposed to resolve the throughputand power of four-phase dual-rail pipeline. The series-parallel ways improve thethroughput of the pipeline. Moreover, the static power of the pipeline in NULL cycledeclines as well because of the new threshold gates. The proposed pipeline can be usedto design communication link with data processing function in asynchronous IP.
     2. This thesis proposes a delay-independent asynchronous dynamic priority arbiterfor communication conflict. In an arbitration period, by comparing the priority of thedata packets with request signals, the arbiter will output the data packets in the sequenceof descending priority. The packets with equal priority are outputted serially. The arbitercan be used to avoid communication conflict in on-chip communication links.
     3. The paper firstly studies protocol interface in communication link, innovativelypropose protocol converters between four-phase dual-rail protocol and dual-railsingle-track protocol, four-phase bundled protocol and dual-rail single-track protocol, aswell as two-phase LEDR protocol and dual-rail single-track protocol, in order toimprove flexible usage of these two types protocol.
     4. This paper proposes a novel elf-acknowledgement high-speed asynchronousdual-rail push channel for long-range data transmission on-chip communication link. The asynchronous channel transmits dual-rail data through two independenttransmission link in order to avoid complex timing design, reduce transmission linkinterference. Meanwhile, based on proposed protocol converters and asynchronousself-acknowledgement channel unit, the two-phase self-acknowledgement asynchronouson-chip communication link has been designed. The two-phase self-acknowledgementasynchronous communication link can communicate with traditional asynchronous linkby changing protocol interface. Compared with two-phase LEDR communication link,the two-phase self-acknowledgement asynchronous communication link is superior interms of throught, power dissipation and area.
     5. The self-acknowledgement asynchronous serial communication link, which cancommunicate with current asynchronous protocol, has been proposed to optimize area,power dissipation and interconnect resource. Simulation results have shown that theserial communication link has lower power consumption, a smaller die area and fewerinterconnects than the self-acknowledgement bit-parallel link. The serialcommunication link can save interconnect resource, reduce crosstalk and improvecommunication reliability, which can be used in low-power on-chip communication.
引文
[1.1]Deb S, Ganguly A, Pande P P, et al. Wireless NoC as Interconnection Backbone forMulticore Chips: Promises and Challenges. IEEE Journal on Emerging and SelectedTopics in Circuits and Systems,2012,2(2):228–239.
    [1.2]Abousamra A, Jones A K, and Melhem R. Codesign of NoC and CacheOrganization for Reducing Access Latency in Chip Multiprocessors. IEEE Transactionson Parallel and Distributed Systems,2012,23(6):1038–1046.
    [1.3]Wang Feng, Chen Yi-bo, Nicopoulos C, et al. Variation-Aware Task andCommunication Mapping for MPSoC Architecture. IEEE Transactions onComputer-Aided Design of Integrated Circuits and Systems,2011,30(2):295–307.
    [1.4]付斌章,韩银和,李华伟,等.面向高可靠片上网络通信的可重构路由算法.计算机辅助设计与图形学学报,2011,23(3):448-455
    [1.5]Al Faruque M A, Ebi T, and Henkel J. AdNoC: Runtime AdaptiveNetwork-on-Chip Architecture. IEEE Transactions on Very Large Scale IntegrationSystem,2012,20(2):257–269.
    [1.6]Ou He, Sheqin Dong, Wooyoung Jang, et al. UNISM: Unified Scheduling andMapping for General Networks on Chip. IEEE Transactions on Very Large ScaleIntegration System,2012,20(8):1496–1509.
    [1.7] John P. Uyemura著,周润德译。超大规模集成电路与系统导论,北京,电子工业出版社,2005年1月, pp7-10.
    [1.8]International Technology Roadmap for Semiconductors(ITRS),2009http://www.itrs.net/Links/20091TRS/Home2009.htm.
    [1.9]Shin J L, Tam K. A40nm16-core128-thread CMT SPARC SoC processor//Proceedings of IEEE International Solid-State Circuits Conference,2010:98-99
    [1.10]Wolf W, Jerraya A, and Martin G. Multiprocessor System-on-Chip (MPSoC)Technology. IEEE Transactions on Computer-Aided Design of Integrated Circuits andSystems,2008,27(10):1701-1713.
    [1.11]Hyung Gyu Lee, Naehyuck Chang, Ogras U Y. et al. On-Chip CommunicationArchitecture Exploration:A Quantitative Evaluation of Point-to-Point, Bus, andNetwork-on-Chip Approaches. ACM Transactions on Design Automation of ElectronicSystems.2007,12(3):1-20.
    [1.12]http://infocenter.arm.com/help/index.jsp?topic=/com.arm.doc.ihi0011a/index.html1
    [1.13]https://www01.ibm.com/chips/techlib/techlib.nsf/techdocs/852569B20050FF7785256991004DB5D9
    [1.14]http://cdn.opencores.org/downloads/wbspec
    [1.15]Loghi M, Angiolini F, Bertozzi D, et al. Analyzing On-Chip Communication in aMPSoC Environment//Proceedings of the conference on Design, automation and test inEurope,2004:20752
    [1.16]Mitic M, Stojcev M. An overview of on chip buses. FACTA Universitatis Series:Electronics and Energetics.2006,19(3):405-428.
    [1.17]朱樟明,周端,杨银堂.片上网络的研究与进展.计算机工程.2007,33(24):239-241.
    [1.18] Pasrisha S, Dutt N, Ben-Romdhane M. Constraint-Driven Bus Matrix Synthesisfor MPSoC//Proceedings of the2006Asia and South Pacific Design AutomationConference,2006:30-35.
    [1.19]Murali S, Benini L, and De Micheli G. An Application-Specific DesignMethodology for On-Chip Crossbar Generation. IEEE Transactions on Computer-AidedDesign of Integrated Circuitsand Systems.2007,26(7):1283-1296.
    [1.20]DeMicheli G. and Benini L. Networks on Chips: Technology and Tools (Systemson Silicon), Morgan Kaufmann,2006.
    [1.21]Dally W, Towles B. Route packets, not wires: on-chip interconnection networks//Proceedings of the Design Automation Conference,2001:684-689.
    [1.22]Balakrishnan A, Naeemi A. Optimal Global Interconnects for Networks-on-Chipin Many-Core Architectures. IEEE Electron Device Letters,2010,31(4):290-292.
    [1.23]高明伦,杜高明. NoC:下一代集成电路主流设计技术.微电子学.2006,36(1):461-466.
    [1.24]Hu J. Design methodologies for application specific networks-on-chip.博士论文,Pittsburgh: Carnegie Mellon University,2005.
    [1.25]Axel J, Hannu T. Networks on chip. Kluwer Academic Publishers,2003.
    [1.26]Yu Zhiyi, Baas B M. High Performance, Energy Efficiency, and Scalability withGALS Chip Multiprocessors. IEEE Transactions on Very Large Scale IntegrationSystem,2009,17(1):66–79.
    [1.27]Van Berkel K, Peeters A, and Beest F. te. Adding Synchronous and LSSD Modesto Asynchronous Circuits//Proceedings of8th IEEE International Symposium onAsynchronous Circuits and Systems,2002:161-170.
    [1.28]阎石,数字电子电路基础,北京,高等教育出版社,1998年5月.
    [1.29]Erik Brunvand著,周润德译。数字VLSI芯片设计-使用Candence和Synopsys CAD工具,北京,电子工业出版社,2009年11月,pp:2-3.
    [1.30]Rajaram A, Pan D Z. Robust Chip-Level Clock Tree Synthesis. IEEE Transactionon Computer-Aided Design of Integrated Circuits and Systems,2011,30(6):877–890.
    [1.31]Teehan P, Greenstreet M, Lemieux G, A Survey and Taxonomy of GALS DesignStyles, IEEE Design&Test of Computers,24(5):418-428
    [1.32]Huffman D A. The synthesis of sequential switching circuits, in SequentialMachines: Selected Papers, E. F. Moore, Ed. Reading, MA: Addison-Wesey,1964.
    [1.33]Muller D E and Bartky W S. A theory of asynchronous circuits//Proceedings ofInternational Symposium on Theory of Switching,1959:204–243.
    [1.34]Miller R E. Switching Theory. New York: Wiley,1965, vol.2, ch.10.
    [1.35]Unger S H. Asynchronous Sequential Switching Circuits. New York: Wiley,1969.
    [1.36]Martin A J. The design of a self-time circuit for distributed mutual exclusion//Proceedings of1985Chapel Hill Conference on VLSI,1985:245-260.
    [1.37]Brearley H C. ILLIAC II: A short description and annotated bibliography. IEEETransaction on Computer,1965,14(6):399–403.
    [1.38]Hunck S. Asynchronous design methodologies: Anoverview. Proceeding of IEEE,1995,83(1):69-93.
    [1.39]Chapiro D M. Globally-Asynchronous Locally-Synchronous Systems. doctoraldissertation, Dept. of Computer Science, Stanford Univ.1984.
    [1.40]Martin A J. Asynchronous Techniques for System-on-Chip Design. Proceedingsof the IEEE,2006,94(6):1089-1119.
    [1.41]Villiger T, Kaslin H, Gurkaynak F K, et al.Self-timed Ring forGlobally-Asynchronous Locally--Synchronous Systems//Proceedings of InternationalSymposium on Asynchronous Circuits and Systems,2003:141–150.
    [1.42]Chattopadhyay, A. High speed asynchronous structures for inter-clocking domaincommunication//Proceedings of International Symposium on Electronics, Circuits andSystems,2002:517–520.
    [1.43]Hernandez C, Roca A, Silla F, et al.On the Impact of Within-Die ProcessorVariation in GALS-Based NoC Perfomance. IEEE Transaction on Computer-AidedDesign of Integrated Circuits and Systems,2012,31(2):294–307.
    [1.44]Salminen E, Kulmala A, and Hamalainen T. On network-on-Chip comparison//Proceedings of Euromicro Conference on Digital System Design,2007:503–510.
    [1.45]Vangal S R, Howard J, Ruhl G, S. et al. An80-Tile sub-100-WteraFLOPSprocessor in65-nm CMOS. IEEE Journal on Solid-State Circuits.2008,43(1):29–41.
    [1.46]Bell S, Edwards B, Amann J, et al.Tile64TM processor: A64-core soc with meshInterconnect//IEEE Solid-State Circuits Conference,2008:88–598.
    [1.47]Troung D, Cheng W, Mohsenin T, Z. et al.A167-Processor computationalplatformin65nm CMOS. IEEE Journal on Solid-State Circuits.2009,44(4):1130–1144.
    [1.48]Dally W.J. and Seitz C.L. The Torus Routing Chip. Technical Report5208:TR:86, Computer Science Dept., California Inst. Of Technology,1986:1-19.
    [1.49]Karim F, Nguyen A, and Dey S.An Interconnect Architecture for NetworkingSystems on Chips. IEEE Micro.2002,22(5):36-45.
    [1.50]Guerrier P, Greiner A. A Generic Architecture for On-Chip Packet-SwitchedInterconnections//Proceedings of Design, Automation and Test in Europe Conferenceand Exhibition2000,2000:250-256.
    [1.51]Joshi A J, Lopez G G, and Davis A. Design and optimization of on-chipinterconnects using wave-pipelined multiplexed routing. IEEE Transactions on VeryLarge Scale Integration System,2007,15(9):990–1002.
    [1.52]Beerel P A, Ozdag R O., and Ferretti M. A Designer’s Guide to AsynchronousVLSI. New York,Cambridge University Press,2010
    [2.1]Nowick S M, Josephs M B, and Van Berkel C H. Scanning the special issue onasynchronous circuit and systems. Proceedings of IEEE,1999,87(2):219-222.
    [2.2]Huffman D A. The synthesis of sequential switching circuits, in SequentialMachines: Selected Papers, E. F. Moore, Ed. Reading, MA: Addison-Wesey,1964.
    [2.3]Muller D E and Bartky W S. A theory of asynchronous circuits//Proceedings ofInternational Symposium on Theory of Switching,1959:204–243.
    [2.4]Clark W A. Macromodular computer system//Proceedings of InternationalConference on1967Spring Joint computer,1967:335-336.
    [2.5]Unger S H. Asynchronous Sequential Switching Circuits. New York, Wiley,1969
    [2.6]Seitz C L. Graph representation for logical machines. PhD These, MIT,1971.
    [2.7]Devis A L. The architecture and system method of DDM-1: Arecursively-structured data driven machine//Proceedings of5thAnnual Symposium onComputer Architecture.1978
    [2.8]Chu T A.Synthesis of self-timed VLSI circuits from graph theoretic specifications//Proceedings of International Conference on Computer Design,1987:220-223.
    [2.9]Cortedella J, Kishinevsky M, Lavagno L, et al. Petrify: A tool for manipulatingconcurrent specifications and synthesis of asynchronous controller, IEICE Transactionon Information System,1997, E880-D:315-325.
    [2.10]Martin A J, Burns S M, Lee T K, et al. The design of an asynchronousmicroprocessor//Proceedings of Decennial Caltech Conference on Advanced Researchin VLSI,1991:351–373.
    [2.11]Furber S B, et al, A Micropipelined ARM, in Proc. Ⅶ Banff Workshop:Asynchronous Hardware Design,1993
    [2.12]Friedman E G.. Clock Distribution Networks in Synchronous Digital IntegratedCircuits. Proceedings of the IEEE,2001,89(5):665-692.
    [2.13]Martin A J. The Limitations to Delay-insensitively in AsynchronousCircuits//Proceedings of6thMIT Conference on Advanced Research in VLSI,1990:263-278.
    [2.14]Martin A J. Programming in VLSI: From Communicating Processes toDelay-Insensitive Circuits. Developments in Concurrency and Communication, UTYear of Programming Institute on Concurrent Programming, Addison-Wesley, pp.1-64,1990.
    [2.15]Stucki M J. and Cox J J. Synchronization strategies//Proceedings of1stConference on Very Large Scale Integration,1979:75-393.
    [2.16]Spars J. and Furber S., Eds. Principles of Asynchronous Circuit Design: ASystem’s Perspective. Boston, MA: Kluwer,2001:2-23
    [2.17]Seitz C L. System Timing. Introduction to VLSI Systems, Addison-Wesley,1980:218-262,1980.
    [2.18]Sparso J, Staunstrup J, Dantzer-Sorensen M. Design of Delay Insensitive Circuitsusing Multi-Ring Structures//European DesignAutomation Conference,1992:15-20
    [2.19]Anantharaman T S. A Delay Insensitive Regular Expression Recognizer.IEEEVLSI Technical Bulletin, Sept.1986.
    [2.20]Singh N P. A Design Methodology for Self-Timed Systems. Master's Thesis,MIT/LCS/TR-258, Laboratory for Computer Science, MIT,1981
    [2.21]David I, Ginosar R, and Yoeli M. An Efficient Implementation of BooleanFunctions as Self-Timed Circuits. IEEE Transactions on Computers,1992,41(1):2-10.
    [2.22]Linder D H and Harden J H. Phased Logic: Supporting the Synchronous DesignParadigm with Delay-Insensitive Circuitry. IEEE Transactions on Computers,1996,45(9):1031-1044.
    [2.23]Fant K M, and Brandt S A. NULL Convention Logic: AComplete and ConsistentLogic for Asynchronous Digital Circuit Synthesis//Proceedings of InternationalConference on Application Specific Systems, Architectures, and Processors,1996:261-273.
    [2.24]李勇,王蕾,龚锐,等。一种32位异步乘法器的研究与实现。计算机研究与发展。2006,43(12):2152-2157
    [2.25]Van Berkel C H, Niessen C, Rem M, et al. VLSI programming and siliconcompilation//Proceedings of International Conference on Computer Design,1988:150-166.
    [2.26]Van Berkel C H. Handshake Circuits: an Asynchronous Architecture for VLSIProgramming, volume5of International Series on Paralled Cambridge University Press,1993
    [2.27]Martin A J. Compiling communicating processes into delay-insensitive VLSIcircuits.Distributed Computing,1986,1(4):226-234.
    [2.28]Bardsley A. andEdwards DA. Compiling the language Balsa to delay insenstivehardware.In C.D.Kloos and E.Cerny, editors, Hardware Description Languages andtheir Applications (CHDL),1997:89-91
    [2.29]Ken Meekins, Dennis Ferguson, Moheb Basta, Delay Insensitive NCLReconfigurable Logic//Proceedings of IEEE Conference on Aerospace,2002:1961-1966.
    [2.30]Sankar R, Kadiyala V, Bonam R, et al. Implementation of Static and Semi-StaticVersions of a Bit-Wise Pipelined Dual-Rail NCL2s Complement Multiplier//2007IEEERegion5Technical Conference,2007:59-64.
    [2.31] Yuan J.S, Kuang W.D. Teaching asynchronous design in digital integrated circuits.IEEE Transaction on Education,2004,47(3):397-404
    [2.32]Van Berkel K, and Bink A. Single-Track Handshake Signaling with Application toMicropipelines and Handshake Circuits//Proceedings ofASYNC,1996:122–133.
    [2.33]Sutherland I and Fairbanks S. GasP: A Minimal FIFO Control//Proceedings ofSeventh International Symposium on Advanced Research in Asynchronous Circuits andSystems,2001:46-53.
    [2.34]Ferretti M. and Beerel P. A. Single-track asynchronous pipeline templates using1-of-n encoding//Proceedings of DATE,2002:1008–1015.
    [3.1]Yuan J S, Kuang W D. Teaching asynchronous design in digital integrated circuits.IEEE Transaction on Education,2004,47(3):397-404.
    [3.2]Fuber S B, Day P, Garside J D, et al. The design and evaluation of an asynchronousmicroprocessor//Proceedings of International Conference on Computer Design,1994:217-220.
    [3.3]Gupta N, Edward D A. Synthesis of asynchronous circuits using early datavalidity//Proceedings of18th International Conference on VLSI Design,2005:799–803.
    [3.4]Kuang W, Yuan J S, DeMara R F, et al. Performance analysis and optimization ofNCL self-timed rings, Circuits, Devices and Systems//IEEE Proceedings,2003:167–172.
    [3.5]Sankar R, Kadiyala V, and Bonam R, et al. Implementation of Static andSemi-Static Versions of a Bit-Wise Pipelined Dual-Rail NCL2s ComplementMultiplier//Proceedings of2007IEEE Region5Technical Conference,2007:59-64.
    [3.6]Mallepalli S R, Kakarla S, and Burugapalli S, et al. Implementation of Static andSemi-Static Versions of a24+8×8Quad-Rail NULL Convention Multiply andAccumulate Unit//Proceedings of2007IEEE Region5Technical Conference,2007:53-58.
    [3.7]Joshi M V, Gosavi S, and Jegadeesan V, et al. NCL Implementation of Dual-Rail2sComplement8×8Booth2Multiplier using Static and Semi-StaticPrimitives//Proceedings of2007IEEE Region5Technical Conference,2007:59–64.
    [3.8]Smith S C. Speedup of Self-Timed Digital Systems Using Early Completion//Proceedings of IEEE Computer SocietyAnnual Symposium on VLSI,2002:98-104.
    [3.9]Bailey A D, Jia Di, and Smith S C, et al. Ultra-Low Power Delay-InsensitiveCircuit Design//Proceedings of51stMidwest Symposium on Circuits and Systems,2008:503-506.
    [3.10]Andrew Bailey, Ahmad Al Zahrani, and Fu Guo-yuan, et al. Multi-ThresholdAsynchronous Circuit Design for Ultra-Low Power. Journal of Low Power Electronics,2008,4(3):001-012.
    [3.11]Ahmad Al Zahrani, Andrew Bailey, and Fu Guo-yuan, et al. Glitch-Free Designfor Multi-Threshold CMOS NCL Circuits//Proceedings of the ACM Great LakesSymposium on VLSI,2009:215-220.
    [3.12]Smith S C. Speedup of NULL convention digital circuits using NULL cyclereduction. Journal of SystemArchitecture,2006,52(7):411-422.
    [3.13]Guan Xu-guang, Zhou Duan, and Yang Ying-tang. Optimization design of a fullasynchronous pipeline circuit based on null convention logic. Journal ofSemiconductors,2009,30(7):075010-6.
    [3.14]Yuan J S and Kuang W D. Teaching asynchronous design in digital integratedcircuits. IEEE Transactions on Education,2004,47(3):397-404.
    [3.15]Ortega C, Tse J, and Manohar R. Static Power Reduction Techniques forAsynchronous Circuits//Proceedings of2010IEEE Symposium on AsynchronousCircuits and Systems,2010:52-61.
    [3.16]Mistry J N, Al-Hashimi B M, and Flynn D, et al. Sub-clock power-gatingtechnique for minimising leakage power during active mode//Proceedings of the Design,Automation, and Test in Europe Conference,2011:1-6.
    [3.17]Kakarla S and Al-Assadi W K. Testing of asynchronous NULL conventional logic(NCL) circuits//Proceedings of2008IEEE Region5Conference,2008:1-6.
    [4.1]Van Berkel K, Peeters A, and te Beest F. Adding Synchronous and LSSD Modes toAsynchronous Circuits//Proceedings of8th IEEE International Symposium onAsynchronous Circuits and Systems,2002:161-170.
    [4.2]Teehan P, Greenstreet M, Lemieux G. A Survey and Taxonomy of GALS DesignStyles. IEEE Design&Test of Computers,24(5):418-428.
    [4.3]Martin A J. Asynchronous Techniques for System-on-Chip Design. Proceedings ofthe IEEE,2006,94(6):1089-1119.
    [4.4]Bolotin E, Cidon I, Ginosar R, et al. QoS architecture and design process for costeffective networks on chip.Journal of Systems Architecture, special issue on Networkon Chip,2004,50(2):105-128.
    [4.5]Beigne E, Clermidy F, Vivet P, et al. An asynchronous NoC architecture providinglow latency service and multi-level design framework//Proceedings of the11thIEEEInternational Symposium on Asynchronous Circuits and Systems,2005:54–63.
    [4.6]Dobkin R, Ginosar R, and Kolodny A. QNoC asynchronous router. Integration, theVLSI Journal,2009,42(2):103–115.
    [4.7]Dobkin R, Vishnyakov V, Friedman E, et al. An asynchronous router for multipleservice levels networks on chip//Proceedings of the11thIEEE International SymposiumonAsynchronous Circuits and Systems,2005:44–53.
    [4.8]Zid M, Zitouni A, Baganne A, et al. New Generic GALS NoC Architectures WithQoS//Proceedings of International Conference on Design and Test of Integrated Systemsin Nanoscale Technology,2006:345–349.
    [4.9]Kinniment D. Synchronization and Arbitration in Digital Systems. West Sussex:John Wiley&Sons Ltd,2007:246-251
    [4.10]Dobkin R, Vishnyakov V, Friedman E, et al. An asynchronous router for multipleservice levels networks on chip//Proceedings of the11th IEEE International SymposiumonAsynchronous Circuits and Systems,2005:44–53.
    [5.1]Rajaram A, Pan D Z. Robust Chip-Level Clock Tree Synthesis. IEEE Transactionon Computer-Aided Design of Integrated Circuits and Systems,2011,30(6):877–890.
    [5.2]Yuan J.S, Kuang W.D. Teaching asynchronous design in digital integrated circuits.IEEE Transaction on Education,2004,47(3):397-404.
    [5.3]Van Berkel K, Peeters A, and te Beest F. Adding Synchronous and LSSD Modes toAsynchronous Circuits//Proceedings of8thIEEE International Symposium onAsynchronous Circuits and Systems,2002:161-170.
    [5.4]Teehan P, Greenstreet M, and Lemieux G. A Survey and Taxonomy of GALSDesign Styles. IEEE Design&Test of Computers,24(5):418-428.
    [5.5]Martin A J. Asynchronous Techniques for System-on-Chip Design. Proceedings ofthe IEEE,2006,94(6):1089-1119.
    [5.6]Ferretti M. and Beerel P. A. Single-track asynchronous pipeline templates using1-of-n encoding//Proceedings of DATE,2002:1008–1015.
    [5.7]Sutherland I E. Micropipelines. Communications of theACM.1989,32(6):720-738.
    [5.8]Fant K M, and Brandt S A. NULL Convention Logic: A Complete and ConsistentLogic for Asynchronous Digital Circuit Synthesis//Proceedings of InternationalConference on Application Specific Systems, Architectures, and Processors,1996:261-273.
    [5.9]Sutherland I E. Micropipelines. Communications of theACM.1989,32(6):720-738
    [5.10]Fuber S B, Day P, Garside J D, et al. The design and evaluation of anasynchronous microprocessor//Proceedings of International Conference on ComputerDesign,1994:217-220.
    [5.11]Fuber S B, Garside J D, and Temple S, et al. AMULET2e: An asynchronousembedded controller//Proceedings of International Symposium on Advanced Researchin Asynchronous Circuits and Systems,1997:290-299.
    [5.12]Sparso J, Staunstrup J, Dantzer-Sorensen M. Design of Delay Insensitive Circuitsusing Multi-Ring Structures//European DesignAutomation Conference,1992:15-20.
    [5.13]Dean M E, Williams T E, and Dill D L. Effcient self-timing with level-encoded2-phase dual-rail (LEDR)//Proceedings of Conference on Advanced ResearchVLSI,1991:55–70.
    [5.14]Naoya Onizawa and Takahiro Hanyu. High-Throughput Protocol Converter Basedon an Independent Encoding/Decoding Scheme for Asynchronous Network-on-Chip//Proceedings of2010IEEE International Symposium on Circuits and Systems,2010:157-160.
    [6.1]Van Berkel K, Peeters A, and te Beest F. Adding Synchronous and LSSD Modes toAsynchronous Circuits//Proceedings of8th IEEE International Symposium onAsynchronous Circuits and Systems,2002:161-170.
    [6.2]Teehan P., Greenstreet M., Lemieux G., A Survey and Taxonomy of GALS DesignStyles. IEEE Design&Test of Computers,24(5):418-428.
    [6.3]Schuster S, Reohr W, Cook P, at el. Asynchronous interlocked pipelined CMOScircuits operating at3.3-4.5GHz//Proceedings of ISSCC,2000:292-293.
    [6.4]Singh M, Nowick S M. The Design of High-Performance Dynamic AsynchronousPipelines: High-Capacity Style.IEEE Transaction on Very Large Scale IntegrationSystem,2007,15(11):1270-1283.
    [6.5]Singh M, Nowick S M. High-Throughput Asynchronous Pipelines for Fine-GrainDynamic Datapaths//Proceedings of Sixth International Symposium on AdvancedResearch in Asynchronous Circuits and Systems,2000:198-209.
    [6.6]Sutherland I and Fairbanks S. GasP: A Minimal FIFO Control//Proceedings ofSeventh International Symposium on Advanced Research in Asynchronous Circuits andSystems,2001:46-53.
    [6.7]Fant K M, and Brandt S A. NULL Convention Logic: A Complete and ConsistentLogic for Asynchronous Digital Circuit Synthesis//Proceedings of InternationalConference on Application Specific Systems, Architectures, and Processors,1996:261-273.
    [6.8]Ozdag R O and Beerel P A. High-speed QDI asynchronous pipelines//Proceedingsof Eighth International Symposium on Advanced Research in Asynchronous Circuitsand Systems,2002:13-22.
    [6.9]Choy C, Butas J, and Povazanec J, et al. A Fine-Grain Asynchronous PipelineReaching the Synchronous Speed//Proceedings of Fourth International Conference onASIC Proceedings,,2001:547-550.
    [6.10]Quinton Bradley R, Greenstreet Mark R, Wilton Steven J E. Practicalasynchronous interconnect network design. IEEE Transactions on Very Large ScaleIntegration (VLSI) Systems,2008,16(5):579-588.
    [6.11]Ferretti M, Beerel P A. High Performance Asynchronous Design UsingSingle-Track Full-Buffer Standard Cells. IEEE Journal of Solid-State Circuits,2006,41(6):1008-1015.
    [6.12]Shojaee K, Gholipour M, Afzali-Kusha A, et al. Comparative study ofasynchronous pipeline design methods. IEICE Electronics Express,2006,3(8):163-171.
    [6.13]管旭光,周端,杨银堂,等。用于片上网络的高速低功耗多轨协议异步通信通道.计算机辅助设计与图形学学报,2009,21(12):1700-1705.
    [6.14]Naoya Onizawa and Takahiro Hanyu. High-Throughput Protocol Converter Basedon an Independent Encoding/Decoding Scheme for AsynchronousNetwork-on-Chip//Proceedings of IEEE International Symposium on Circuits and Systems,2010:157-160.
    [6.15]Mitra A, McLaughlin W F, and Nowick S M. Effcient asynchronous protocolconverters for two-phase delay-insensitive global communication//Proceedings of13thIEEE International Symposium on Asynchronous Circuits System,2007:185–186.
    [6.16] McLaughlin W F, Mitra A, and Nowick S M. Effcient asynchronous protocolconverters for two-phase delay-insensitive global communication. IEEE Transactions onVLSI system.2009,17(7):923-928
    [7.1]Rajaram A, Pan D Z. Robust Chip-Level Clock Tree Synthesis. IEEE Trans. onComputer-Aided Design of Integrated Circuits and Systems,2011,30(6):877–890.
    [7.2]Krstic M, Grass E, Gurkaynak F K, et al. Globally asynchronous, locallysynchronous circuits: Overview and outlook. IEEE Design Test Comput.2007,24(5):430–441
    [7.3]Macchiarulo L, Macii E, and Poncino M. Wire placement for crosstalk energyminimization in address buses//Proceedings of DATE2002,2002:158–162.
    [7.4]Cong J, He L, and Koh C K, et al. Interconnect sizing and spacing withconsideration of coupling capacitance.IEEE Transaction on Comput.-AidedDesign.2001,20(9):1164–1169.
    [7.5]Macii E, Poncino M, and Salerno S. Combining wire swapping and spacing forlow-power deep-submicron buses//Proceedings of IEEE Great Lakes Symposium,2003:198–202.
    [7.6]Gupta P and Kahng A. Wire swizzling to reduce delay uncertainty due tocoupling//Proceedings of the IEEE International Conference on VLSI Design Des,2004:431-436.
    [7.7]Ogras U Y and Marculescu R. It’s a small world after all: Noc performanceoptimization via long-range link insertion. IEEE Transaction Very Large ScaleIntegration System,2006,14(7):693–706.
    [7.8]Dobkin R, Morgenshtein A, Kolodony A, et al. Parallel versus serial on-chipcommunication//Proceedings of10thInt.Workshop System Level InterconnectPrediction,2008:43–50.
    [7.9]Magen N, Kolodny A, Weiser U, and N. et al. Interconnect power dissipation in amicroprocesso//Proceedings of IEEE/ACM International Workshop System LevelInterconnect Prediction,2004:7–13.
    [7.10]Lee S J, Kim K, Kim H, et al. Adaptivenet work-on-Chip with wave-front trainserialization scheme//Proceedings of IEEE2005Symp. VLSI Circuits,2005:104–107.
    [7.11]Smith S C. Speedup of NULL convention digital circuits using NULL cyclereduction, Journal of Systems Architecture,2006,52(7):411-422.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700