数字电路老化失效预测与防护技术研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
工艺尺寸的急剧缩小,使得数字电路的性能得到了大幅度的提高。但是与此同时,也给数字电路的可靠性问题带了更多新的挑战。纳米工艺条件下,老化是影响数字电路可靠性的主要问题之一。老化会导致晶体管阈值电压升高,逻辑门单元翻转速度减慢,电路时延增大,导致时序违例的发生,最终引发电路失效。已有统计表明,老化会在10年内使数字电路的工作速度最多降低20%。
     本论文针对数字电路老化失效预测与防护技术进行研究,分别在电路老化关键路径选择、老化失效在线预测、老化过程度量和老化失效防护问题上提出了相应的解决方法。具体内容和主要创新点如下:
     (1)基于信号翻转概率的数字电路老化关键路径分析。数字电路中不同路径的老化过程并不相同,只有某些关键路径在老化效应的影响下才会发生时序违例,并最终引起电路失效。传统的老化关键路径选择方法无法有效的缩小关键路径集合规模。本文针对数字电路老化关键选择问题进行研究,提出了基于信号翻转概率的数字电路老化关键路径选择方法。本方法综合考虑电路逻辑门的信号翻转概率,计算电路中工作负载占空比的变化过程;并根据变化的工作负载占空比来计算电路的老化时延,进行老化关键路径的选择。实验数据表明,本文的方法能有准确的反应出电路中数据通路的时延变化过程,有效的缩小了关键路径集合的规模。
     (2)基于双沿采样的数字电路老化失效在线预测。老化效应在电路层的特征表现为路径时延的增加。通过对数字电路信号的在线测试和分析,能够有效预测电路是否即将因老化而引起错误的发生。优化的在线预测电路设计是数字电路老化预测的关键问题。本文针对数字电路在线预测进行研究,提出了基于双沿采样的数字电路老化失效在线预测方法。本方法在老化故障模型分析的基础上,针对电路层老化特征,采用双沿触发器作为aging sensor的数据采样和存储单元,使用电路组合逻辑的输出信号作为检测电路的时序控制信号,利用触发器的建立时间产生预测窗口,通过分析aging sensor的采样结果来预测电路的老化情况。仿真实验表明,本文的在线预测方法在保持准确预测电路的老化失效功能的前提下,对工艺偏差影响具有很好的容忍性;同时,本方法还具有低功耗、低面积开销和低性能影响的优点,有效的解决了数字电路老化在线预测电路的综合优化设计问题。
     (3)基于自振荡回路的数字电路老化度量。数字电路的实际老化程度是进行电路失效防护方法的依据,准确的评估电路老化过程是电路老化失效防护的关键。传统的评估方法不能准确的反映出电路的实际老化程度。本文针对数字老化评估问题,提出了基于自振荡回路的数字电路老化度量方法。本方法选择电路中的老化敏感特征通路作为待测路径;保持待测路径上具有奇数次“逻辑非”操作,利用待测路径自身结构形成自振荡回路;通过复用内建自测试机制实现老化测试向量的生成和自振荡回路的激发;采用老化特征计数器捕获量化老化特征值,度量老化程度。实验数据表明,本方法的老化度量准确度均达到90%以上,并具有很好的工艺偏差容忍能力。
     (4)基于时-空冗余的数字电路老化失效防护。数字电路老化容忍技术的最终目的是为了防止老化引起电路失效的发生。现有的电路老化失效防护方法会永久性的改变电路原有结构和性能。本文针对数字电路的老化失效防护技术进行研究,提出了基于时-空冗余的数字电路老化失效防护机制。本失效防护机制采用冗余的空间单元检测电路中是否出现由老化所导致的错误,采用冗余的时序信号对电路的错误信号进行纠错处理,从而使电路具有了容老化的自检测和自纠错功能;同时,本防护机制在每次进行纠错处理后,统一调整电路的时钟相位,保证电路时钟的一致性。实验数据表明,本防护机制在时钟相位差分别为时钟周期的5%、10%、20%、25%时,可以分别提高2%、23%、116%、232%的电路平均故障间隔时间。
The aggressive scaling down technology has largely improved the performance of digital circuits. However, it has brought more challenges to the reliability of circuits in nanometer technology, one of which is the effect of circuit aging. The circuit aging would increase the transistor threshed voltage, decrease the speed of gate, increase the circuit delay, cause the timing violation and eventually lead to the circuit failure. Previous works show that the aging would lead to a20%degradation of circuit performance in10years.
     This dissertation focuses on the aging failure prediction and protection of digital circuits and introduces the related methods to solve the problems caused by circuit aging. The main contributions of the dissertation are as follows:
     (1) The Critical Path Selecting of Digital Circuits based on Transition Probability of Signal. In the digital circuits, the aging process of each data path is difference with each other. The timing violation only occurs in some critical data path under the influence of circuit aging. The previous woks could not scale the set of critical path effectively. A critical path selecting method is introduced in this work. In the circuits, each type of gate has its own signal transition probability, so that the duty cycles of them are different from each other. This selecting method makes use of the signal transition probability to compute the duty cycle of workload on data path, base on which the variation of circuit delay during the whole process of circuit aging is described as well. And finally, according to the aging delay of data path, the set of critical path is generated. The experiment results show that the proposed delay computing method could describe the variation of circuit delay precisely the change of circuit delay, and the critical path selecting method could reduce the set of critical path effectively.
     (2) The Aging Failure Prediction for Digital Circuits using Double Triggered Pattern. In circuit level, the feather of aging is the increasing of circuit delay on data path. The online testing of circuits could effectively prediction the failure caused by circuit aging. The critical technology of online failure prediction is the optimized design of aging sensor. An online aging failure prediction method using double triggered pattern is proposed in this dissertation. Based on the analysis of aging feathers, this method employs the double-edge-triggered flip-flop to sample the signal of the circuits, and it use signal of the combinational logic to control the sampling process of aging sensor. The detection interval is generated by the setup time of different flip-flops, which is used to predict whether the error caused by circuit aging would occur. The experiment results show that this method would effectively predict the circuit failure, the influence of process variation on the proposed method is low, and it also make a low area, power and performance cost of circuits.
     (3) The In-field Circuit Aging Measurement using Self-oscillation Loops. The precise measurement of circuit aging is critical to the implement of specific aging protection method. The traditional aging measurement method could not compute the aging process effectively. In this dissertation, an aging measurement method using self-oscillation loops is presented. This method selects critical path from circuits; keeps the odd times of inverters on the path and construct the self-oscillation loops by the interior devices of the critical path; reuses the mechanism of built-in self test to generate the testing patterns and agitate the self-oscillation loops; employs the aging signature counter to quantify aging feature and measure the aging process. The simulation results show that the measurement precise of proposed method is more than90%, and the method is resilient to the effect of process variation.
     (4) The Aging Failure Protection for Digital Circuits using Time-Space Redundancy. The motivation of aging resilient is to protect the digital circuits from failure. The traditional circuit protection methods would permanently modify the structure and the performance of circuits. In this dissertation, an aging protection mechanism using time-space redundancy is proposed. This mechanism employs the redundant space cells to realize the self-detection process of circuits, and employ multi-timing signals to correct the error caused by circuit aging. After each time of error correction, the protection mechanism would make use of self-adaptive clock scaling cell to shift the clock difference, in order to keep the consistency of all the clock of circuits. The experiments show that this protection would improve the Mean Time-to-Failure by2%,23%,116%, and232%with the clock difference of5%,10%,20%, and25%.
引文
[1]International Technology Roadmap for Semiconductors(ITRS),2011 edition.
    [2]J. W. McPherson. Reliability Challenges for 45nm and Beyond[C]//Proceedings of the 43rd ACM/IEEE Design Automation Conference, California, USA,2006,176-188.
    [3]W. Abadeer and W. Ellis. Behavior of NBTI under AC Dynamic Circuit Conditions[C]// Proceedings of the 41st International Reliability Physics Symposium, Albuquerque, USA, 2003,17-22.
    [4]H. Wu, W. Sun, Y. Yi, H. Li, and L. Shi. A New Hot-Carrier Degradation Mechanism in High Voltage nLEDMOS Transistors[C]//Proceedings of the 26th IEEE International Conference on Microel-ectronics,2008,595-598.
    [5]Y. J. Li, Y. M. Kim, E. Mintarno, D. S. Gardner, and S. Mitra. Overcoming Early-Life Failure and Aging for Robust Systems[J]. IEEE Trans. on Design & Test of Computers,2009,26(6), 28-39.
    [6]J. Keane, T. H. Kim, and C. H. Kim. An On-Chip NBTI Sensor for Measuring pMOS Threshold Voltage Degradation[J]. IEEE Trans. on Very Large Scale Intergration(VLSI) System,2010,18(6),947-956.
    [7]S. Bhardwaj, W. P. Wang, and R. Vattikonda, Y. Cao, and S. Vrudhula. Predictive Modeling of the NBTI Effect for Reliable Design[C]//Proceedings of IEEE Custom Integrated Circuits Conference, San Jose, CA,2006,189-192.
    [8]Y. Wang, H. Luo, K. He, R. Luo, H. Z. Yang, and Y. Xie. Temperature-aware NBTI modeling and the impact of input vector control on performance degradation[C]//Proceedings of the 11th ACM/IEEE Design, Automation, and Test in Europe, Nice,2007,546-551.
    [9]R. Vattikonda, W. Wang, and Y. Cao. Modeling and Minimization of PMOS NBTI Effect for Robust Nanometer Design[C]//Proceedings of the 43rd Design Automation Conference, San Francisco, CA, USA,2006,1047-1052.
    [10]W. P. Wang, S. Q. Yang, S. Bhardwaj, S. Vrudhula, F. Liu, and Y. Cao. The Impact of NBTI Effect on Combinational Circuit: Modeling, Simulation, and Analysis[J]. IEEE Trans. on Very Large Scale Integration (VLSI) Systems,2010,18(2),173-183.
    [11]W. P. Wang, S. Q. Yang, and S. Bhardwaj. The Impact of NBTI on the Performanceof Combinational and Sequential Circuits[C]//Proceeding of the 44th ACM/IEEE Design Automation Conference, San Diego, CA, USA,2007,364-369.
    [12]J. Srinivasan, S.V. Adve, P. Bose, and J.A. Rivers. The Impact of Technology Scaling on Lifetime Reliability[C]//Proceedings of the 34th IEEE/IFIP International Conference on Dependable Systems & Networks, Florence, Italy,2004,177-186.
    [13]B.C. Paul, K. Kang, H. Kufluoglu, M.A. Alam, and K. Roy. Impact of NBTI on the Temporal Performance Degradation of Digital Circuits[J]. IEEE Trans. on Electron Device Letters, 2005,26(8),560-562.
    [14]J. Warnock. Circuit Design Challenges at the 14nm Technology Node[C]//Proceeding of the 48th ACM/IEEE Design Automation Conference, New York, NY,2011,464-467.
    [15]J. Shin, V. Zyuban, P. Bose, and T. M. Pinkston. A Proactive Wearout Recovery Approach for Exploiting Microarchitectural Redundancy to Extend Cache SRAM Lifetime[C]// Proceedings of the 35th Annual International Symposium on Computer Architecture, Beijing, China,2008,353-362.
    [16]Critical Reliability Challenges for the International Technology Roadmap for Semiconductors. In Intl. Sematech Tech. Transfer 03024377A-TR,2003.
    [17]E. Maricau and G. Gielen. Efficient Variability-aware NBTI and Hot Carrier Circuit Reliability Analysis[J]. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems,2010,29(12),1884-1893.
    [18]E. J. Hwang, W. Kim, and Y. H. Kim. Impact of Process Variation on Timing Characteristics of MTCMOS Flip-flops for Low-power Mobile Multimedia Applications[C]//Proceeding of the 11th International Symposium on Intergrated Circuits, Pohang,2009,332-335.
    [19]U. Ingelsson, B. M. AlHashimi, and S. Khursheed. Process Variation-Aware Test for Resistive Bridges[J]. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems,2009, 28(8),1269-1274.
    [20]O. S. Unsal, J. W. Tschanz, K. Bowman, V. De, X. Vera, A. Gonzalez, and O, Ergin. Impact of Parameter Variations on Circuits and Microarchitecture[J]. IEEE Trans. on Micro,2006, 26(6),30-39.
    [21]S. Borkar. Designing Reliable Systems from Unreliable Components: The Challenges of Transistor Variability and Degradation[J]. IEEE Trans. on Micro,2005,25(6),10-16.
    [22]N. Kimizukaand, T. Yamamoto, T. Mogamiand, K. Yamaguchi, K. Imai, T. Horiuchi. The Impact of Bias Temperature Instability for Direct-tunneling Ultra-thin Gate Oxide on MOSFET Scaling[C]//Proceedings of Symposium on VLSI Technology, Kyoto, Japan,1999, 73-74.
    [23]W. Wang, Z. Wang, Y. S, and C. Yu. An Efficient Method to Identify Critical Gates under Circuit Aging[C]//Proceedings of the 8th International Conference on Computer-Aided Design, San Jose,2007,735-740.
    [24]G. Chen, K. Y. Chuah, M. F. Li, D. S. Chan, C. H. Ang, J. Z. Zheng, Y. Jin, and D. L. Kwong. Dynamic NBTI of PMOS Transistors and Its Impact on Device Lifetime[C]//Proceedings of the 41th Annual IEEE International Reliability Physics Symposium,2003,196-202.
    [25]M. A. Alam and S. Mahapatra. A Comprehensive Model of PMOS NBTI Degradation[J]. IEEE Trans. on Microelectronics Reliability,2005,45(1),71-81.
    [26]A. T. Krishnan, C. Chancellor, S. Chakravarthi, P. E. Nicollian, V. Reddy, and A. Varghese. Material Dependence of Hydrogen Diffusion: Implication for NBTI Degradation[C]// Proceedings of IEEE International Electron Devices Meeting,2005,688-691.
    [27]S. Mahapatra, D. Saha, D. Varghese, and P. B. Kumar. On the Generation and Recovery of Interface Traps in Mosfets Subjected to NBTI, FN, and HCI Stress[J]. IEEE Trans. on Electron Devices,2006,53(7),1583-1592.
    [28]K. Kang, S. P. Park, K. Roy, and M. A. Alam. Estimation of Statistical Variation in Temporal NBTI Degradation and Its Impact on Lifetime Circuit Performance[C]//Proceedings of IEEE/ACM International Conference on Computer-Aided Design,2007,730-734.
    [29]V. Huard, C. Parthasarathy, N. Rallet, C. Guerin, M. Mammase, D. Barge, and C. Ouvrard. New Characterization and Modeling Approach for NBTI Degradation from Transistor to Product Level[C]//Proceedings of International Electron Devices Meeting,2007,797-800.
    [30]B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy. Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits[C]//Proceedings of ACM/IEEE Design, Automation, and Test in Europe,2006, 780-785.
    [31]Y. Wang, X. M. Chen, W. P. Wang, Y. Cao, Y. Xie, and H. Z. Yang. Leakage Power and Circuit Aging Co-optimization by Gate Replacement Techniques [J]. IEEE Trans. on Very Large Scale Integration Systems,2011,19(4),615-628.
    [32]Z. J. He, T. Lv, H. W. Li, and X. W. Li. Fast Path Selection for Testing of Small Delay Defects Considering Path Correlations[C]//Proceedings of 28th IEEE VLSI Test Symposium, Santa, Cruz, CA,2010,3-8.
    [33]Z. J. He, T. Lv, H. W. Li, and X. W. Li. Graph Partition based Path Selection for Testing of Small Delay Defects[C]//Proceedings of the 15th Asia and South Pacific Design Automation Conference, Taipei,2010,499-504.
    [34]I. C. Lin, C.H. Lin, and K.H. Li. Leakage and Aging Optimization Using Transmission Gate-Based Technique[J]. IEEE Trans. on Computer-Aided Design of Integrated Circuits and Systems,2013,32(1),87-99.
    [35]M. Agarwal, B. C. Paul, M. Zhang, and S. Mitra. Circuit Failure Prediction and Its Application to Transistor Aging[C]//Proceedings of 25th IEEE VLSI Test Symposium, Berkeley, CA, USA,2007,277-286.
    [36]G. H. Yan, Y. H. Han, and X. W. Li. SVFD: A Versatile Online Fault Detection Scheme via Checking of Stability Violation[J]. IEEE Trans on Very Large Scale Integration Systems, 2011,19(9),1627-1640.
    [37]J. C. Vazquez, V. Champac, A. M. Ziesemer, R. Reis, I. C. Teixeira, M. B. Santos, and J. P. Teixeira. Low-sensitivity to Process Variations Aging Sensor for Automotive Safety-Critical Applications[C]//Proceedings of 28th VLSI Test Symposium,2010,238-243.
    [38]G. H. Yan, Y. H. Han, and X. W. Li. A Unified Online Fault Detection Scheme via Checking of Stability Violation[C]//Proceedings of the 13th IEEE/ACM Design, Automation, and Test in Europe,2009,395-400.
    [39]J. C. Vazquez, V. Champac,A. M. Ziesemer, R. Reis, I. C. Teixeira, M. B. Santos, and J. P. Teixeira. Built-In Aging Monitoring for Safety-Critical applications[C]//Proceeding of 15th IEEE International On-line Testing Symposium,2009,9-14.
    [40]M. Agarwal, V. Balakrishnan, A. Bhuyan, and K. Kim. Optimized Circuit Failure Prediction for Aging: Practicality and Promise[C]//Proceedings of IEEE International Testing Conference, Santa Clara, CA,2008,1-10.
    [41]T. Sato and Y. Kunitake. A Simple Flip-Flop Circuit for Typical-Case Designs for DFM[C]// Proceedings of the 8th International Symposium on Quality Electronic Design, San Jose, CA, 2007,539-544.
    [42]H. Dadgour and K. Banerjee. Aging-Resilient Design of Pipelined Architectures using Novel Detection and Correction Circuits[C]//Proceedings of the 14th IEEE/ACM Design, Automation, and Test in Europe, Dresden,2010,244-249.
    [43]K. A. Bowman, J. W. Tschanz, N. S. Kim, J. C. Lee, C. B. Wilkerson, S. L. Lu, T. Karnik, and V. K. De. Energy-Efficient and Metastability-Immune Resilient Circuits for Dynamic Variation Tolerance[J]. IEEE Trans. on Solid-State Circuits,2009,44(1),49-63.
    [44]D. Ernst, S. Das, S. Lee, D. Blaauw, T. Austin, T. Mudge, N. S. Kim, and K. Flautner. Razor: Circuit-Level Correction of Timing Errors for Low-Power Operation[J]. IEEE Trans. on Micro,2004,24(6),10-20.
    [45]R. S. Oliveira, J. Semiao, I. C. Teixeira, M. B. Santos, J. P. Teixeira. On-line BIST for Performance Failure Prediction under Aging Effects in Automotive Safety-Critical Applications[C]//Proceedings of the 12th IEEE Latin American Test Workshop, Brazil,2011, 1-6.
    [46]J. Keane, T. H. Kim, and C. H. Kim. An On-Chip NBTI Sensor for Measuring pMOS Threshold Voltage Degradation [J]. IEEE Trans. on Very Large Scale Integration Systems, 2010,18(6),947-956.
    [47]P. Singh, Z. Cheng, D. Blaauw, D. Sylvester, and E. Karl. Sensor-Driven Reliability and Wearout Management[J]. IEEE Trans. on Design & Test of Computers,2009,27(6),40-48
    [48]T. B. Chan, P. Gupta, A. B. Kahng, and L. Z. Lai. DDRO: A Novel Performance Monitoring Methodology Based on Design-dependent Ring Oscillators[C]//Proceedings of the 13th International Symposium on Quality Electronic Design,2012,633-640.
    [49]T. B. Chan and A. B. Kahng. Improved Path Clustering for Adaptive Path-delay Testing[C]// Proceedings of the 13th International Symposium on Quality Electronic Design,2012,13-20.
    [50]M. S. Gupta, J. A. Rivers, P. Bose, G. Y. Wei, and D. B. Tribeca. Design for PVT Variations with Local Recovery and Fine-Grained Adaptation[C]//Proceedings of the 42nd Annual IEEE/ACM International Symposium on Microarchitecture. New York, NY, USA,2009, 435-446.
    [51]T. H. Kim, R. Persaud, and C. H. Kim. Silicon Odometer: An On-Chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits[J]. IEEE Trans. on Solid-State Circuits, 2008,45(4),874-880.
    [52]E. Karl, P. Singh, D. Blaauw, and D. Sylvester. Compact In-Situ Sensors for Monitoring Negative-Bias- Temperature-Instability Effect and Oxide Degradation[C]//Proceedings of the 55th IEEE International Solid-State Circuits Conference,2008,410-623.
    [53]E. Saneyoshi, K. Nose, and M. Mizuno. A Precise-Tracking NBTI-Degradation Monitor Independent of NBTI Recovery Effect[C]//Proceedings of 57th IEEE International Solid-State Circuits Conference,2010,192-193.
    [54]R. Fernandez, B, Kaczer, A. Nackaerts, S. Demuynck, R. Rodriguze, M. Nafria, and G. Groeseneken. AC NBTI studied in the 1 Hz—2 GHz range on dedicated on-chip circuits[C]// Proceedings of IEEE International Electron Devices Meeting,2006,337-340.
    [55]M. Denais, A, Bravaix, V. Huard, C. Parthasarathy, C. Guerin, G. Ribes, F. Perrier, M. Mairy, and D. Roy. Paradigm Shift for NBTI Characterization in Ultrascaled CMOS Technologies[C] //Proceedings of IEEE International Reliability Physics Symposium,2006,735-736.
    [56]T. H. Kim, R. Persaud, and C. H. Kim. Silicon odometer: An On-chip Reliability Monitor for Measuring Frequency Degradation of Digital Circuits[C]//Proceedings of IEEE Journal of Solid-State Circuits,2008,874-880.
    [57]S. Hamdioui, D. Gizopoulos, G. Guido, M. Nicolaidis, A. Grasset, and P. Bonnot. Reliability Challenges of Real-Time Systems in Forthcoming Technology Nodes[C]//Proceedings of the 17th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2013,129-134.
    [58]L. Zhang and R. Dick. Scheduled Voltage Scaling for Increasing Lifetime in the Presence of NBTI[C]//Proceedings of the 14th Asia and South Pacific Design Automation Conference, Yokohama, Japan,2009,492-497.
    [59]T. B. Chan, W. T. Chan, and A. B. Kahng. Impact of Adaptive Voltage Scaling on Aging-aware Signoff[C]//Proceedings of the 17th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2013,1683-1688.
    [60]E. Mintarno, J. Skaf, R. Zheng, J. Velamala, and Y. Cao. Optimized Self-Tuning for Circuit Aging[C]//Proceedings of the 14th IEEE/ACM Design, Automation, and Test in Europe, Dresden, Germany,2010,586-591.
    [61]P. Krause and I. Polian. Adaptive Voltage Over-Scaling for Resilient Applications[C]// Proceedings of the 16th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2011,944-949.
    [62]M. E. Salehi, M. Samadi, M. Najibi, A. Afzali-Kusha, M. Pedram, and S. M. Fakhraie. Dynamic Voltage and Frequency Scheduling for Embedded Processors Considering Power/Performance Tradeoffs[J]. IEEE Trans. on Very Large Scale Integration Systems,2010, 1-5.
    [63]P. Pop, K. H. Poulsen, V. Izosimov, and P. Eles. Scheduling and Voltage Scaling for Energy/Reliability Trade-offs in Fault-tolerant Time-triggered Embedded Systems[C]// Proceedings of the 8th Hardware/Software Co-design and System Synthesis,2007,233-238.
    [64]T. B. Chan and A. B. Kahng. Tunable Sensors for Process-aware Voltage Scaling[C]// Proceedings of the 13th International Conference on Computer-Aided Design,2012,7-14.
    [65]S. Das, D. Robert, S. Lee, S. Pant, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. A Self-Tuning DVS Processor Using Delay-Error Detection and Correction[J]. IEEE Journal of Solid-State Circuits,2006,41(4),792-804
    [66]S. Akui, K. Seno, M. Nakai, T. Meguro, T. Seki, T. Kondo, K. Kumano, and T. Shimura. Dynamic Voltage and Frequency Management for a Low Power Embedded Micro-processor[C]//Proceedings of IEEE Solid-State Circuits Conference,2004,64-513.
    [67]D. Ernst, N. S. Kim, S. Das, S. Pant, R. Rao, T. Pham, C. Ziesler, D. Blaauw, T. Austin, K. Flautner, and T. Mudge. Razor: A Low-Power Pipeline Based on Circuit-Level Timing Speculation[C]//Proceedings of the 36th annual IEEE/ACM International Symposium on Microarchitecture,2003,7-18.
    [68]L. Yuan and G. Qu. A Combined Gate Replacement and Input Vector Control Approach for Leakage Current Reduction[J]. IEEE Trans. on Very Large Scale Integration Systems,2006, 14(2),173-182.
    [69]A. Abdollahi, F. Fallah, and M. Pedram. Leakage Current Reduction in CMOS VLSI Circuits by Input Vector Control[J]. IEEE Trans. on Very Large Scale Integration Systems,2004, 12(2),140-154.
    [70]O. Khan and S. Kundu. A Self-adaptive System Architecture to Address Transistor Aging[C]// Proceedings of the 13th ACM/IEEE Design, Automation, and Test in Europe,2009,81-86.
    [71]S. Mitra. Globally Optimized Robust Systems to Overcome Scaled CMOS Reliability Challenges[C]//Proceedings of the 12th ACM/IEEE Design, Automation, and Test in Europe, 2008,941-946.
    [72]Y. Wang, X. M. Chen, W. P. Wang, V. Balakrishnan, Y. Cao, Y. Xie, and H.Z. Yang. On the Efficacy of Input Vector Control to Mitigate NBTI Effects and Leakage Power[C]// Proceedings of the 10th International Symposium on Quality Electronic Design, San Jose, CA, USA,2009,19-26.
    [73]S. Jin, Y. H. Han, L. Zhang, H. W. Li., X. W. Li, and G. H. Yan. M-IVC: Using Multiple Input Vectors to Minimize Aging-induced Delay[C]//Proceedings of the 20th Asian Test Symposium,2009,437-442.
    [74]S. Mahapatra, P. Bharath Kumar, T. Dalei, D. Sana, and M. Alam. Mechanism of Negative Bias Temperature Instability in CMOS Devices: Degradation, Recovery and Impact of Nitrogen[C]//Proceedings of IEEE International Electron Devices Meeting,2004,105-108.
    [75]M. Ghasemazar, B. Amelifard, and M. Pedram. A Mathematical Solution to Power Optimal Pipeline Design by Utilizing Soft Edge Flip-Flops[C]//Proceedings of International Symposium on Low Power Electronics and Design, Bangalore, India,2008,33-38.
    [76]M. Choudhury, V. Chandra, K. Mohanram, and R. Aitken. TIMBER: Time borrowing and Error Relaying for Online Timing Error Resilience[C]//Proceedings of the 14th IEEE/ACM Design, Automation, and Test in Europe, Dresden, Germany,2010,1554-1559.
    [77]G. H. Yan, Y. H. Han, and X. W. Li. ReviveNet: A Self-Adaptive architecture for Improving Lifetime Reliability via Localized Timing Adaptation[J]//IEEE Trans. on Computers,2011, 60(9),1219-1232.
    [78]A. Tiwari, S.R. Sarangi, and J. Torrellas. ReCycle: Pipeline Adaptation to Tolerate Process Variation[C]//Proceedings of the 34th annual International Symposium on Computer Architecture,2007,323-334.
    [79]J. LI, Y. Hu, and X. W. LI. A Scan Chain Adjustment Technology for Test Power Reduction[C]//Proceedings of IEEE 15th Asian Test Symposium, Fukuoka, Japan,2006, 11-16.
    [80]J. Shin, V. Zyuban, Z. Hu, J.A. Rivers, and P. Bose. A Framework for Architecture-Level Lifetime Reliability Modeling[C]//Proceedings of the 37th Annual IEEE/IFIP International Conference on Dependable Systems and Networks, Edinburgh, UK,2007,534-543.
    [81]S. V. Kumar, C. H. Kim, and S. Sapatnekar. NBTI-Aware Synthesis of Digital Circuits[C]// Proceedings of the 44th ACM/IEEE Design Automation Conference,2007,370-375.
    [82]X. Fu, T. Li, J. A. B. Fortes. Architecting Reliable Multi-core Network-on-Chip for Small Scale Processing Technology[C]//Proceedings of the 40th IEEE/IFIP International Conference on Dependable Systems & Networks, Chicago, IL, USA,2010,111-120.
    [83]A. Calimera, M. Loghi, E. Macii, and M. Poncino. Partitioned Cache Architectures for Reduced NBTI-Induced Aging[C]//Proceedings of the 16th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2011,938-943.
    [84]L. Leem, H. Cho, H. H. Lee, Y. M. Kim, Y. J. Li, and S. Mitra. Cross-Layer Error Resilience for Robust Systems[C]//Proceedings of the 11th International Conference on Comuter-Aided Design,2010,177-180.
    [85]A. Chakraborty and D. Z. Pan. Controlling NBTI Degradation during Static Burn-in Testing[C]//Proceedings of the 16th Asia and South Pacific Design Automation Conference, 2011,597-602
    [86]R. Hossain, L. D. Wronski, and A. Albicki. Low Power Design Using Double Edge Triggered Flip-Flops[J]. IEEE Trans. on Very Large Scale Intergration,1994,2(2),261-265.
    [87]J. Liu, Y. H. Han, and X. W. Li. Scan Slices Compression Technique Using Dynamical Reference Slices[C]//Proceedings of 2009 IEEE Workshop on RTL and High Level Testing, Hong Kong, China,2009,82-85.
    [88]R. B. Staszewski, S. Vemulapalli, P. Vallur, J. Wallberg, and P.T. Balsara.1.3 V 20 ps Time-to-Digital Converter for Frequency Synthesis in 90-nm CMOS[J]. IEEE Trans. on Circuits and Systems II,2006,53(3),220-224.
    [89]D. M. Ancajas, K. Chakraborty, and S. Roy. Proactive Aging Management in Heterogeneous NoCs through a Criticality-driven Routing Approach[C]//Proceedings of the 18th IEEE/ACM Design, Automation, and Test in Europe,2013,1032-1037.
    [90]T. B. Chan, J. Sartori, P. Gupta, R. Kumar. On the Efficacy of NBTI Mitigation Techniques[C] //Proceedings of the 16th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2011,932-937.
    [91]靳松,韩银和,李华伟,李晓维.一种考虑工作负载的电路老化预测方法[J].计算机辅助设计与图形学报,2010,22(12),2242-2249.
    [92]李忠贺,刘红侠,郝跃.超深亚微米PMOS器件的NBTI退化机理[J].物理学报,2006,55(2):820-824.
    [93]陈田,梁华国,王伟,易茂祥,黄正峰.基于优化编码的LFSR重播种测试压缩方案[J].计算机研究与发展,2012,49(02),443-451.
    [94]黄正峰,梁华国,陈田,詹文法,孙科.一种容软错误的BIST结构[J].计算机辅助设计与图形学学报,2009,21(01),33-36+43.
    [95]H. G. Liang, M. X. Yi, X. S. Fang, and C. Y. Jiang. A BIST Scheme Based on Selecting State Generation of Folding Counters[C].//Proceedings of IEEE 14th Asian Test Symposium, Calcutta, India,2005,18-21.
    [96]H. G. Liang, S. Hellebrand, and H. J. Wunderlich. Two-Dimensional Test Data Compression for Scan-Based Deterministic BIST[J]. Journal of Electronic Testing-Theory and Applications,2002,18(2),157-168.
    [97]S. Hellebrand, H. G. Liang, and H. J. Wunderlich. A Mixed Mode BIST Scheme Based on Reseeding of Folding Counters[C]//Proceedings of International Test Conference(ITC), Atlantic City,2000,778-784.
    [98]R. Doering and Y. Nishi. Handbook of Semiconductor Manufacturing Technology. CRC,2 edition,2007.
    [99]G. Gielen and E. Maricau. Stochastic Degradation Modeling and Simulation for Analog Integrated Circuits in nanometer CMOS[C]//Proceedings of the 18th IEEE/ACM Design, Automation, and Test in Europe,2013,326-331.
    [100]B. C. Paul, K. Kang, H. Kufluoglu, M. A. Alam, and K. Roy. Temporal Performance Degradation under NBTI: Estimation and Design for Improved Reliability of Nanoscale Circuits[C]//Proceedings of the 11th IEEE/ACM Design, Automation, and Test in Europe, 2006,780-785.
    [101]Z. F. Huang and M. X. Yi. BISS: A Built-In SEU Sensor For Soft Error Mitigation[J]. Journal of Applied Mechanics and Materials,2012,130,4228-4231.
    [102]R. S. Ghaida and P. Gupta. Role of Design in Multiple Patterning: Technology Development, Design Enablement and Process Control[C]//Proceedings of the 18th IEEE/ACM Design, Automation, and Test in Europe,2013,314-319.
    [103]S. Kumar, C. Kim, and S. Sapatnekar, Impact of NBTI on SRAM Read Stability and Design for Reliability[C]//Proceedings of 7th International Symposium on Quality Electronic Design,2006,210-218.
    [104]A. Tiwari and J. Torrellas. Facelift: Hiding and Slowing Down Aging in Multicores[C]// Proceedings of the 41st Annual IEEE/ACM International Symposium on Microarchitecture, 2008,129-140.
    [105]L. T. Wang, C. W. Wu, X. Q. Wen. VLSI Test Principles and Architectures Design for Testability[M]. Morgan Kaufmann Publishers, CA,2006.
    [106]D. Lin, T. Hong, Y. J. Li, F. Fallah, D. S. Gardner, N. Hakim, and S. Mitra. Overcoming Post-Silicon Validation Challenges Through Quick Error Detection(QED)[C]//Proceedings of the 17th IEEE/ACM Design, Automation, and Test in Europe, Grenoble, France,2013, 320-325.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700