无线传感器网络节点基带处理器关键电路的低功耗设计
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
能量受限,如何降低节点功耗,是无线传感器网络需要解决的首要问题。论文主要研究了节点无线通信模块中基带处理器的典型单元Viterbi译码器和DS/BPSK扩频电路的低功耗设计:
     对(3,1,9)Viterbi译码器各子单元分别提出了具有针对性的低功耗设计方法:在设计BMU时,提出根据各分支预编码的对称性,可以节约大概80%的硬件开销;在设计ACSU时,提出采用预计算方法,可以将输入对电路开关活动性的影响降低12.5%以上;在设计PMU时,针对混合结构的原位更新方式,提出采用状态映射地址SMA,来实现新状态在下一轮加比选运算中和存储单元物理地址PA的对应,并通过标识最高位的方法,来减少SMA更新引起的功耗,相比于乒乓方式,功耗可节约46%左右;在设计SMU时,提出通过引入指针,标识最高位以及设置终止信号的方式,可以有效阻止无用寄存器的更新,和传统RE结构相比,可降低约30%的电路功耗。
     由于现有扩频芯片并不适合无线传感器网络工作的特点,而前几代节点端机分别采用DSP或FPGA来实现DS/BPSK技术,这势必会引起较大的功耗,未来节点发展的趋势是SoC,基于此,我们拟研制系列专用于传感器节点的协议芯片,论文中设计实现的国内首颗传感器节点DS/BPSK扩频芯片就是其中之一,该芯片采用TSMC 0.25μm CMOS工艺,芯片面积约2×2.2 mm~2,在3.3V电源电压,输入时钟58.5MHz条件下,工作电流约6mA,和原来的DSP及FPGA实现方式相比,功耗得到明显降低。
     针对测得DS/BPSK芯片毛刺功耗较高的情况,论文对电路毛刺产生和传播的原因进行了分析,对抑制RTL级电路控制信号和数据信号毛刺的技术,以及寄存器门控时钟的方法进行了研究,提出采用基于静态CMOS逻辑的栅控方式,来对DS/BPSK扩频芯片非关键路径上频繁出现毛刺的单元进行改进。
     论文工作源自中科院知识创新项目CDMA无线传感器网络,相应成果有助于促进节点向微型化、低功耗方向发展,在实用性方面,具有一定的参考价值。
Since energy efficiency is a key concern in the research work of WSN, it is important to design a low power node. So the dissertation puts the emphasis on the low power design of the Viterbi decoder (VD) and the BPSK direct sequence spread spectrum (DSSS) which both belong to the baseband processing.
     In the dissertation, first, we presented a low power implementation of a VD (rate 1/3 and constraint length 9), which was achieved by applying some algorithmic modification on the conventional structure, and then employing several low power design techniques; according to the symmetric characteristics of the generator polynomials, the complexity of branch metric unit (BMU) could be simplified about 80%; based on the pre-computation technique, the transition activity of the components in add-compare- select (ACS) could be reduced more than 12.5%; during the in-place path metric update, a state mapping address (SMA) was proposed to make the newly computed path metric write into the correct physical address (PA), and comparing with the ping-pong structure, approximately 46% power dissipation of SMA update could be reduced by the MSB flag; also with the MSB flag and the assigned pointer, the update operations of survivor memory unit (SMU) could be minimized; in addition, with a termination signal to prevent the useless activities in SMU, the power dissipation could be decreased around 30%.
     As current spread spectrum chips were not completely fit for the characteristics of WSN, the former series node devices had to realize the DS/BPSK technique with DSP or FPGA, which led to high power consumption for the inefficiencies; since SoC was the trend of node progressing, therefore, we hoped to develop a series of chips that were special for sensor node, and the first DS/BPSK chip interiorly was one of them, which was fabricated in TSMC 0.25μm CMOS process technology with the area 2×2 mm~2; and the active current in chip was about 6mA at 3.3V and 58.5MHz which was obviously less than the one with DSP or FPGA.
     Due to the high glitch power dissipation in chip test, we analyzed the reason for glitch generation and propagation, and studied the techniques that attempt to reduce glitches in control or data signals, as well as methods that could decrease register power consumption by gated clock; by comparing various gate triggerings with MOS transistor, we modified the design of some modules in DS/BPSK chip, which belonged to the non-critical path and appeared glitches frequently.
     Finally, the motivation of the dissertation originated from "CDMA wireless sensor network" which belonged to Intellectual Innovation Project of Chinese Academy of Sciences; some work of the dissertation could be help to accelerate the development of WSN node towards miniaturization and low power way.
引文
[1] Ian F. Akyildiz, et al., A survey on sensor networks [J], IEEE Communications Magazine, 2002; 40(8): 102-114
    [2] Kay Romer, et al., The design space of wireless sensor networks [J], IEEE Wireless Communications, 2004; 11 (6): 54-61
    [3] Fredric Newberg., Wireless sensor network design and implementation [D]. USA: UCLA, 2002
    [4] G. J. Pottie and W. J. Kaiser, Wireless integrated network sensors [J], Communications of the ACM, 2000; 43(5): 51-58
    [5] Ian F. Akyildiz, et al., Wireless sensor networks: a survey, Computer Networks, 2002; 38(4): 2002: 393-422
    [6] Jason Lester Hill., System architecture for wireless sensor networks [D]. USA: UC berkeley, 2003
    [7] Ara N. Knaian, A wireless sensor network for smart roadbeds and intelligent transportation [D]. USA: MIT, 2000
    [8] Chia-Nian Shyi, et al., Low Power Platform for Wireless Sensor Network [C]. IEEE ICPADS'05, 2005; 5pp
    [9] 孙利民等.无线传感器网络[M].北京:清华大学出版社,2005.
    [10] G. Asada, et al., Wireless integrated network sensors: low power systems on a chip [C], IEEE ESSCIRC'98, 1998; 9-16
    [11] Marcos Augusto M. Vieira, et al., Survey on Wireless sensor network devices[C], IEEE ETFA '03, 2003; 537-544
    [12] K. Daniel Wong, Physical layer considerations for wireless sensor networks[C], Proceedings of the 2004 IEEE International Conference on Networking, Sensing & Control, Taipei, Taiwan, 2004(3); 1201-1206
    [13] Benton H. Calhoun, et al., Design considerations for ultra-low energy wireless microsensor nodes [J], IEEE Transactions on Computers, 2005; 54(6): 727-740
    [14] Daniele Puccinelli and Martin Haenggi, Wireless sensor networks: applications and challenges of ubiquitous sensing[J], IEEE Circuits and Systems Magazine, 2005; 5(3): 19-29
    [15] Mark Hempstead, et al., An ultra low power system architecture for sensor network applications [C], IEEE ISCA'05, 2005; 208-209
    [16] J. Rabaey, et al., PicoRadio supports Ad Hoe ultra-low power wireless networking [J], IEEE Computer, 2000; 33(7): 42-48
    [17] B. Warneke, et al., Smart dust: communicating with a cubic-millimeter computer [J], IEEE Computer, 2001; 34(1): 44-51
    [18] Jason L. Hill, et al., Mica: a wireless platform for deeply embedded networks [J], IEEE Micro, 2002; 22(6): 12-24
    [19] Ralph M. Kling, Intel mote: an enhanced sensor network node [C], Microwave Symposium Digest, 2005 IEEE MTT-S International, 2005; 1-6
    [20] Anantha P. Chandrakasan, et al., Low-power CMOS digital design [J]. IEEE Journal of Solid-State Circuits, 1992; 27(4): 473-484
    [21] H Iwai, CMOS technology-year 2010 and beyond [J]. IEEE Journal of Solid-State Circuits, 1999; 34(3): 357-366
    [22] Y.Taur., The 100-million transistor IC [J]. IEEE Spectrum, 1999; 36(7): 22-24
    [23] Jan M. Rabaey, et al., Digital integrated circuits: a design perspective, second edition [M]. Prentice Hall, 2003
    [24] Anantha P. Chandrakasan, Low power digital CMOS design [D]. USA: UC Berkeley, 1994
    [25] Muhammad M. Khellah, Low-power digital CMOS VLSI circuits and design methodologies [D]. Canada: Waterloo, Ontario, 1999
    [26] Mohamed W. Allam, New methodologies for low-power high-performance digital VLSI design [D]. Canada: Waterloo, Ontario, 2000
    [27] Muhammad M. Khellah, Low-power digital CMOS VLSI circuits and design methodologies [D]. Canada: Waterloo, Ontario, 1999
    [28] Siva Narendra, et al., Full-Chip subthreshold leakage power prediction and reduction techniques for sub-0.18-μm CMOS [J]. IEEE Journal of Solid-State Circuits, 2004; 39(2): 501-510
    [29] Harry J. M. Veendrick., Short-circuit dissipation of static CMOS circuitry and its impact on the design of buffer circuits [J]. IEEE Journal of Solid-State Circuits, 1984; 19(4): 468-473
    [30] Pelloie, J.L, Using SOI to achieve low-power consumption in digital [C], Proceedings of the 2005 IEEE International Conference on SOI, 2005; 14-17
    [31] L'Hostis, N., et al., Silicon characterization of standby leakage reduction techniques in a 0.13μm low power partially-depleted silicon-on-insulator technology [C], IEEE ICICDT'06, 2006; 1-4
    [32] Hyuk-Ju Ryu, et al., Fully working 1.10μm~2 embedded 6T-SRAM technology with high-k gate dielectric device for ultra low power applications [C], Digest of Technical Papers 2004 Symposium on VLSI Technology, 2004; 38-39
    [33] Tschanz, J.W., et al., Adaptive body bias for reducing impacts of die-to-die and within-die parameter variations on microprocessor frequency and leakage [J]. IEEE Journal of Solid-State Circuits, 2002; 37(11): 1396-1402
    [34] Kakumu, M., et al., Design optimization methodology for deep-submicrometer CMOS device at low-temperature operation [J]. IEEE Transactions on Electron Devices, 1992; 39(2): 370-378
    [35] Kao, J.T., et al., Dual-threshold voltage techniques for low-power digital circuits [J]. IEEE Journal of Solid-State Circuits, 2000; 35(7): 1009-1018
    [36] Hyung-Ock Kim, et al., Physical design methodology of power gating circuits for standard-cell-based design [C]. 43rd ACM/IEEE Conference on Design Automation, 2006; 109-112
    [37] Bin Liu, et al., Power driven placement with layout aware supply voltage assignment for voltage island generation in dual-Vdd designs [C]. Asia and South Pacific Conference on Design Automation, 2006; 582-587
    [38] Huang-Liang Chen, et al., On achieving low-power SoC clock tree synthesis by transition time planning via buffer library study [C], IEEE International SOC Conference, 2006; 203-206
    [39] Chun-Gi Lyuh, et al., Coupling-aware high-level interconnect synthesis [IClayout] [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2004; 23(1): 157-164
    [40] Mehra, R., et al., A partitioning scheme for optimizing interconnect power [J]. IEEE Journal of Solid-State Circuits, 1997; 32(3): 433-443
    [41] Manzak, A., et al., A low power scheduling scheme with resources operating at multiple voltages [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2002; 10(1): 6-14
    [42] Pangjun, J., et al., Low-power clock distribution using multiple voltages and reduced swings [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2002; 10(3): 309-318
    [43] Lin Zhong, et al., Interconnect-aware low-power high-level synthesis [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005; 24(3): 336-351
    [44] Raghunathan, A., et al., High-level macro-modeling and estimation techniques for switching activity and power consumption [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2003; 11(4): 538-557
    [45] Xunwei Wu, et al., Low power sequential circuit design by using priority encoding and clock gating [C]. IEEE ISLPED, 2000; 143-148
    [46] Anantha P. Chandrakasan, et al., Optimizing power using transformations [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1995; 14(1): 12-31
    [47] Babighian, P., et al., A scalable algorithm for RTL insertion of gated clocks based on ODCs computation [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2005; 24(1): 29-42
    [48] Amamiya, Y., et al., Low supply voltage operation of over-40-Gb/s digital ICs based on parallel-current-switching latch circuitry [J]. IEEE Journal of Solid-State Circuits, 2005; 40(10): 2111-2117
    [49] Shyh-Jye Jou, et al., A pipelined multiplier-accumulator using a high-speed, low-power static and dynamic full adder design [J]. IEEE Journal of Solid-State Circuits, 1997; 32(1): 114-118
    [50] Sotiriadis, P.P., et al., Bus energy reduction by transition pattern coding using a detailed deep submicrometer bus model [J]. IEEE Transactions on Circuits and Systems Ⅰ: Fundamental Theory and Applications, 2003; 50(10): 1280-1295
    [51] Lekatsas, H., et al., Approximate arithmetic coding for bus transition reduction in low power designs [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2005; 13(6): 696-707
    [52] Shuenn-Yuh Lee, et al., A low-power VLSI architecture for a shared-memory FFT processor with a mixed-radix algorithm and a simple memory control scheme [C], IEEE International Symposium on Circuits and Systems, 2006; 21-24
    [53] Liu Feng, et al., Low power scalable DCT design based on scalers sharing multiplier [video coding applications] [C], IEEE International Conference on Solid-State and Integrated Circuits Technology, 2004; 18-21
    [54] Nomura, M., et al., Monitoring scheme for minimizing power consumption by means of supply and threshold voltage control in active and standby modes [C], Digest of Technical Papers. 2005 Symposium on VLSI Circuits, 2005; 308-311
    [55] Calhoun, B., et al., Standby voltage scaling for reduced power [C], Proceedings of the 2003 IEEE Custom Integrated Circuits Conference, 2003; 639-642
    [56] Wu H., et al., Placement Proximity Based on Voltage Island Grouping Under Timing Requirement [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits, 2006; 50(10): 1-14
    [57] Assaderaghi, F., et al., Dynamic threshold-voltage MOSFET (DTMOS) for ultra-low voltage VLSI [J]. IEEE Transactions on Electron Devices, 1997; 44(3): 414-422
    [58] Bernard Sklar著,徐平平等译.数字通信——基础与应用(第二版)[M].北京:电子工业出版社,2002.
    [59] G. D. Fomey, The Viterbi algorithm [J], Proc. IEEE, 1973; 61(3): 268-278
    [60] Jun Jin Kong, et al., Low-latency architectures for high-throughput rate Viterbi decoders [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2004; 12(6): 642-651
    [61] Montse B., et al., High-performance VLSI architecture for the Viterbi algorithm [J]. IEEE Transactions on Communications, 1997; 45(2): 168-176
    [62] C. Bernard Shung, et al., Area-efficient architectures for the Viterbi algorithm-Part Ⅰ: Theory [J]. IEEE Transactions on Communications, 1993; 41(4): 636-644
    [63] Man Guo, M., et al., FPGA Design and Implementation of a Low-Power Systolic Array-Based Adaptive Viterbi Decoder [J]. IEEE Transactions on Circuits and Systems-Ⅰ: Regular Papers, 2005; 52(2): 350-365
    [64] Jens S., et al., An area-efficient topology for VLSI implementation of Viterbi decoders and other shuffle-exchange type structures [J]. IEEE Journal of Solid-State Circuits, 1991; 26(2): 90-97
    [65] J. H. Ryu, et al., A new lower power Viterbi decoder architecture with glitch reduction [C]. IEEE AP-ASIC'99, 1999; 83-86
    [66] C. M. Rader, Memory Management in a Viterbi Decoder [J]. IEEE Transactions on Communications, 1981; 29(9): 1399-1401
    [67] Jae-Sun Han, et al., High performance Viterbi decoder using modified register exchange methods [C]. IEEE ISCAS'04, 2004; 553-556
    [68] R C S Morling, et al., Novel low-latency low-power Viterbi decoder traceback memory architecture [C]. IEEE MELECON, 2004; 179-183
    [69] 韩雁,石教英,Viterbi译码器VLSI设计中幸存路径存储管理的新方法[J].电子学报,1996;24(2):124-127
    [70] Pasin Israsena, et al., A high-speed, low-power interleaved trace-back memory for Viterbi decoder [C]. IEEE ISCAS'06, 2006; 2801-2804
    [71] K. Seki, et al. Very low power consumption Viterbi decoder LSIC employing the SST (scarce state transition) scheme for multimedia mobile communications [J]. Electronics Letters, 1994; 30(8): 637-639
    [72] Mario Traber, A low power survivor memory unit for sequential Viterbi decoders, Circuits and Systems, IEEE ISCAS'01, 2001; 214-217
    [73] G.. Allan., et al., A VLSI implementation of an adaptive-effort low-power Viterbi decoder for wireless communications [C]. Canadian Conference on Electrical and Computer Engineering, 2001; 1183-1188
    [74] Lee Wen Ta. A single-chip Viterbi decoder for a binary convolutional code using and adaptive algorithm [J]. IEEE Trans. Consumer Elec., 1995, 41 (1): 150-159.
    [75] Mazhar A., et al. Precomputation-based sequential logic optimization for low power [J]. IEEE Transactions on Very Large Scale Integration Systems, 1994; 2(4): 426-435
    [76] S.Y. Kim, et al., Path metric memory management for minimising interconnections in Viterbi decoders [J]. Electronics Letters, 2001; 37(14): 925-926
    [77] Andries P. Hekstra, An alternative to metric rescaling in Viterbi decoders [J]. IEEE Transactions on Communications, 1989; 37(11): 1220-1222
    [78] Dalia A. El-Dib, et al., Modified register-exchange Viterbi decoder for low-power wireless communications [J]. IEEE Transactions on Circuits and Systems-Ⅰ: Regular Papers, 2004; 51(2): 371-378
    [79] 查光明,熊贤祚.扩频通信[M].西安:西安电子科技大学出版社,2001
    [80] 王金明,张雄伟,FIR滤波器的优化设计与硬件实现[J].电视技术,2003;3期:19-21
    [81] J. Tierney, et al., A digital frequency synthesizer [J]. IEEE Transactions on Audio and Electroacoustics, 1971; 19(1): 48-57
    [82] David A. Sutherland, et al., CMOS/SOS frequency synthesizer LSI circuit for spread spectrum communications [J]. IEEE Journal of Solid-State Circuits, 1984; 19(4): 497-506
    [83] Henry T. Nicholas, et al., A 150-MHz direct digital frequency synthesizer in 1.25-μm CMOS with -90-dBc spurious performance [J]. IEEE Journal of Solid-State Circuits, 1991; 26(12): 1959-1969
    [84] Jen-Shi Wu, et al., A 2.6-V, 44-MHz all-digital QPSK direct-sequence spread-spectrum transceiver IC [J]. IEEE Journal of Solid-State Circuits, 1997; 32(10): 1499-1510
    [85] Bong-Young Chung, et al., Performance analysis of an all-digital BPSK direct-sequence spread-spectrum IF receiver architecture [J]. IEEE Journal on Selected Areas in Communications, 1993; 11(7): 1096-1107
    [86] Flavio Carbognani, et al., 42% power savings through glitch-reducing clocking strategy in a heating aid application [C]. IEEE ISCAS'06, 2006; 2941-2944
    [87] M. Meijer, et al., Glitch-free discretely programmable clock generation on chip [C]. IEEE ISCAS'05, 2005; 1839-1842
    [88] Henrik Eriksson and Per Larsson-Edefors, Glitch-conscious low-power design of arithmetic circuits [C], IEEE ISCAS'04, 2004; 281-284
    [89] Hyungwoo Lee, et al., Glitch elimination by gate freezing, gate sizing and buffer insertion for low power optimization circuit [C]. The 30th Annual Conference of the IEEE Industrial Electronics Society, Busan, Korea, 2004; 2126-2131
    [90] S.Kim, et al., New path balancing algorithm for glitch power reduction [J]. IEE Proc.-Circuits Devices Syst., 2001; 148(3): 151-156
    [91] Sungjae Kim, Juho Kim, and Sun-Young Hwang, Efficient algorithm for glitch power reduction [J]. Electronics Letters, 1999; 35(13): 1040-1041
    [92] A. Raghunathan, et al., Register transfer level power optimization with emphasis on glitch analysis and reduction [J]. IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 1999; 18(8): 1114-1131
    [93] L. Benini, et al., Saving power by synthesizing gated clocks for sequential circuits [C], IEEE Design Test Comput., Winter, 1994; 32-41
    [94] Tadashi Sekot, et al., Measurement of glitches based on variable gate delay model using VHDL simulator [C]. IEEE APCCAS, 1998; 767-770
    [95] Xun Liu, et al., Incorporation of input glitches into power macromodeling [C]. IEEE ISCAS, 2002; 846-849
    [96] Dirk Rabe, et al., Short circuit power consumption of glitches [C]. IEEE ISLPED, Monterey, CA, USA, 1996; 125-128
    [97] N.R. Mahapatra, et al., Efficient techniques based on gate triggering for design static CMOS ICs with very low glitch power dissipation [C], IEEE International Symposium on Circuits and Systems, Geneva, Switzerland, 2000; 537-540
    [98] Luca Benini, et al., A Glitch power minimization by selective gate freezing [J]. IEEE Transactions on Very Large Scale Integration (VLSI) Systems, 2000; 8(3): 287-298
    [99] N.R. Mahapatra, et al., An empirical and analytical comparison of delay Elements [C]. Proceedings of IEEE Computer Society Workshop on VLSI, 2000; 81-86

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700