基于微环谐振器滤波特性的硅基光子器件研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
半导体微电子行业经历了近50年的飞跃发展,如今在单个集成电路芯片上集成的逻辑门超过千万个。然而,长期以来光电子器件主要被III-V族材料所统治,如何复制硅材料在微电子领域取得的巨大成功,使用这种间接带隙材料实现低成本、高密度集成的光子器件,是从事硅光子研究人员不懈努力的方向。
     绝缘衬底上硅(SOI, Silicon on Insulator)材料具有高折射率差,可在CMOS (Complementary-Metal-Oxide-Semiconductor)兼容工艺下实现结构紧凑的光子器件。且硅材料具有良好的热光效应和等离子色散效应,可用来实现对光子器件的调谐控制。由环形光波导和直光波导耦合而成的微环谐振器是一个结构紧凑的光学滤波器,它可以用来构建多种不同用途的集成光学器件,包括光调制器、光开关、光插分复用器、光路由器、光传感器等。
     本论文以硅基微环谐振器为基本单元,对利用其滤波特性构建的箱型滤波器、波长选择光路由器以及Fano谐振器进行了理论研究和实验验证。主要的内容包含以下几个方面:
     1.设计了半径为10μm的二阶、三阶硅基串联微环滤波器,采用CMOS兼容工艺完成器件的制作。测试结果表明,器件能实现箱型滤波特性。二阶串联硅基微环滤波器的下路谱线顶部平坦,带内的功率起伏仅有O.11dB左右,3dB带宽约为0.31nm,消光比超过35dB,插损约为0.4dB。三阶微环滤波器下路端谱线3dB带宽为0.38nm,插损小于0.9dB,消光比超过39.5dB。三阶微环滤波器在热电极控制下可以实现整个FSR范围内的连续调节,热调谐效率为48.4mW/nm.动态响应特性测试结果显示,滤波器通道切换时间小于12.63μs。
     2.对光互连网络中的核心器件光路由器进行了深入研究。通过构建八端口路由器为例,给出了一种利用无源微环上下话路滤波器构建N端口非阻塞波长选择光路由器的通用设计方法。设计并实现了基于硅材料的四端口波长选择路由器。在实验测试得到的光传输谱线中,可以观测到该四端口路由器的12条路由光链路,它可以支持包含4个广播模式在内的13个非阻塞工作状态,所有通道间最差串扰约为-10dB。在测试的光谱范围内,利用微环的梳妆滤波(comb filter)特性能实现4组工作波长的同时路由,将器件的信息吞吐量提高4倍。为优化器件的串扰和插损,设计并实现了基于双环耦合交叉波导的硅基四端口路由器。测试结果显示,器件通道间最差的串扰大幅度降低,约为-21.56dB。部分光链路的插损可减小0.61dB。但该结构破坏了微环谐振器的comb特性,不可实现多组工作波长同时路由。因此,最后提出了性能更优越的基于串联双环箱型滤波器的硅基四端口波长选择路由器结构。
     3.研究了光谐振器中Fano谱线产生的原理,在SOI材料上实现了一个可以产生并控制Fano谱线形状的谐振系统。在这个系统中,Fano谐振谱线来源于在微环谐振器中谐振的两束光的干涉。Fano谐振谱线的线形可以通过控制这两束相干光进入微环谐振器前的相位差来进行调节。当相位差为0.5π或1.5π时,Fano谐振谱线具有最大斜率和高消光比。实验测试结果表明,通过控制热电极满足两束光的相位差条件,可以在微环谐振器的整个自由光谱区(FSR, Free Spectral Range)内获得消光比超过20dB的陡峭Fano谱线。
After near50-year rapid development of the semiconductor and microelectronic industry, over10-million logic gates can be interated in a single chip today. However, optoelectronic devices are almost demonstrated by III-V group materials. How to duplicate the great success of the application of silicon in microelectronics and use this indirect-band-gap material to implement the low-cost, high-integration photonic devices is the direction for researchers to make the unremitting efforts.
     Silicon on Insulator (SOI) has the high refactive index difference, and can be utilized to fabricate the compact photonic devices by CMOS-compatibe process. And the thermo-optic (TO) effect and plasma dispersion effect of silicon can be used to control the SOI-based photonic devices. Microring resonator (MRR), which is constructed by ring and straight waveguides, is a compact optical filter. It is a versatile element used for various applications, such as optical modulators, optical switches, optical add-drop multiplexers, optical routers and sensors.
     This thesis makes the therotical analysis and demonstration of box-like optical filters, wavelength-selective routers and Fano resonators that based on the filter characteristic of the fundamental element-silicon MRR. The main works are listed as follows:
     1. The box-like optical filters baed on second-and third-order series coupled10-um microring resonators (MRRs) are designed and demonstrated by the CMOS-compatibe process. The experimental results show that they both realize the box-like filter characteristic. The top of the optical transmission spectrum of the second-order filter's drop port is very flat with an intraband ripple of0.11dB. The3dB bandwith, insertion loss and extinction ratio (ER) are0.31nm,0.4dB and larger than35dB, respectively. Those of the optical transmission spectrum of the third-order filter's drop port are0.38nm, less than0.9dB and over39.5dB, respectively. The filter response is successfully tuned by one free spectral range (FSR) through TO effect with a tuning efficiency of48.4mW/nm. The dynamic tuning measurement shows that the filter channel switch time is less than12.63μs.
     2. The key element of the optical interconnects-optical routers are studied and demonstrated on SOI platform. An eight port optical router is presented as an example to illustrate the design method for establishing an N port non-blocking wavelength-selective router. Then we design and fabricate a four port router with SOI. The possible12I/O routing paths are experimentally observed. Thirteen nonblocking operating states, including four broadcasting states, with worst-case corsstalk of-10dB are supported. By using the comb filter characteristic of MRRs, each path has the ability to route four group wavelengths simultaneously in the measured spectral range, which can increase the data throughput by4times. In order to reduce the device's crosstalk and insertion loss, a four port router based on two MRRs coupled to crossing waveguide is designed and fabricated. Mearsured results show that the worst crosstalk decreases a lot and is to be around-21.56dB. The insertion loss of some optical paths is reduced about0.61dB. But this structure destroys the MRR's comb characteristic and can't realize the simultaneous routing of multiple groups of wavelengths. Hence, another new four port routers based on series coupled MRR box-like filters with the superior performance is proposed.
     3. The generation principle of Fano resonance is studied and a resonant system that can produce and control Fano resonance is demonstrated on SOI platform. In this system, the Fano resonance originates from the interference of two beams resonant in the MRR. The shapes of the Fano resonances are tunable through controlling the phase difference of the two beams. Both largest slope and high ER are obtained when the phase difference is0.5π or1.5π. Experimental results show that Fano resonances with steep slope and ER over20dB are achieved in the whole FSR by controlling the microheaters to meet the phase condition.
引文
[1]G E Moore, "Cramming More Components onto Integrated Circuits," Electronics, Vol.38, No.2,pp.114-117,1965.
    [2]S. Bell, B. Edwards, J. Amann, R. Conlin, K. Joyce, V. Leung, J. MacKay, M. Reif, B. Liewei, J. Brown, M. Mattina, M. Chyi-Chang, C. Ramey, D. Wentzlaff, W. Anderson, E. Berger, N. Fairbanks, D. Khan, F. Montenegro, J. Stickney, and J. Zook, "TILE64-Processor:A 64-Core SoC with Mesh Interconnect," IEEE International Solid-State Circuits Conference,2008.
    [3]R. G. Beausoleil, P. J. Kuekes, G. S. Snider, S. Wang, and R. S. Williams, "Nanoelectronic and Nanophotonic Interconnect," IEEE Proa, Vol.96, No.2, pp.230-247,2008.
    [4]B. Ho, K. W. Mai, and M. A. Horowitz, "The future of wires," IEEE Proc, Vol.89, No.4, pp. 490-594,2001.
    [5]J. A. Davis, R. Venkatesan, A. Kaloyeros, M. Beylansky, S. J. Sourl, K. Banerjee, K. C. Saraswat, A. Rahman, R. Reif, and J. D. Meindl, "Interconnect limits on gigascale integration (GSI) in the 21st century," IEEE Proc., Vol.89, No.3, pp.305-324,2001.
    [6]J. D. Meindl, J. A. Davis, P. Zarkesh-Ha, C. S. Patel, K. P. Martin, and P. A. Kohl, "Interconnect opportunities for gigascale integration," IEEE Micro., Vol.23, No.3, pp.28-35, 2003.
    [7]M. Haurylau, G. Chen, H. Chen, J. Zhang, N. A. Nelson, D. H. Albonesi, E. G. Friedman, and P. M. Fauchet, "On-chip optical interconnect roadmap:Challenges and critical directions," IEEE J. Sel. Topics Quantum Electron., Vol.12, No.6, pp.1699-1705,2006.
    [8]L. Pavesi and G. Guillot, "Optical Interconnects," Springer-Verlag Berlin Heideberg,2006.
    [9]D.A.B. Miller, "Rationale and challenges for optical interconnects to electronic chips," IEEE Proc., Vol.88, No.6, pp.728-749,2000.
    [10]H. Qiu, H. Yu, T. Hu, G. Jiang, H. Shao, P. Yu, J. Yang, and X. Jiang, "Silicon mode multi/demultiplexer based on multimode grating-assisted couplers," Opt. Express, Vol.21, No. 15, pp.17904-17911,2013.
    [11]C. W. Chow, C. S. Wong, H. K. Tsang, "Optical packet labeling based on simultaneous polarization shift keying and amplitude shift keying," Opt. Lett. Vol.29, No.16, pp. 1861-1863,2004.
    [12]X. Cai, J. Wang, M. J. Strain, B. Johnson-Morris, J. Zhu, M. Sorel, J. L. O'Brien, M. G. Thompson, and S. Yu, "Integrated Compact Optical Vortex Beam Emitters," Science, Vol.338, No.6105 pp.363-366,2012.
    [13]F. Benner, M. Ignatowski, J. A. Kash, D. M. Kuchta, and M. B. Ritter, "Exploitation of optical interconnects in future server architectures," IBM J. Res. Develop., Vol.49, No.4, pp. 755-775,2005.
    [14]K. Shacham, K. Bergman, and L. P. Carloni, "Bergman, and L. P. Carloni, "Photonic networks-on-chip for future generations of chip multiprocessors," IEEE Trans. Comput. Vol. 57, No.9, pp.1246-1260,2008.
    [15]A. Shacham, B. G. Lee, A. Biberman, K. Bergman, and L. P. Carloni, "Photonic NoC for DMA communications in chip multiprocessors," in Proc.15th Annu. IEEE Symp. High-Performance Interconnects, pp.29-36,2007.
    [16]K. H. Mo,Y. Ye, X. Wu, W. Zhang, W. Liu, and J. Xu, "A hierarchical hybrid optical-electronic network-on-chip," in Proc. IEEE Comput. Soc. Annu. Symp., pp.327-332, 2010.
    [17]A. Biberman, and K. Bergman, "Optical interconnection networks for high-performance computing systems," Rep. Prog. Phys., Vol.75,046402, pp.1-15,2012.
    [18]A. Shacham, K. Bergman, and L. P. Carloni, "On the Design of a Photonic Network-on-Chip," Procesdings of the 1th International Symposium on Networks-on-Chip, pp.53-64,2007.
    [19]刘恩科,朱秉升,罗晋生等,半导体物理学,西安交通大学出本社,1998.
    [20]D. Liang, and J. Bowers, "Recent Progress in lasers on silicon," Nature Photon., Vol.4, No.8, pp.511-517,2010.
    [21]O. Boyraz and B. Jalali, "Demonstration of a silicon Raman laser," Opt. Express, Vol.12, No. 21, pp.5269-5273,2004.
    [22]R. Jones, H. Rong, A. Liu, A. W. Fang, and M. J. Paniccia, "Net continuous wave optical gain in a low loss silicon-on-insulator waveguide by stimulated Raman scattering," Opt. Express, Vol.13, No.2, pp.519-525,2005.
    [23]H. Rong, R. Jones, A. Liu, O. Cohen, D. Hak, A. Fang, and M. Paniccia, "A continuous-wave Raman silicon laser," Nature, Vol.433, pp.725-728,2005.
    [24]H. Rong, S. Xu, Y. Kuo, V. Sih, O. Cohen, O. Raday, and M. Paniccia, "Low-threshold continuous-wave Raman silicon laser," Nature Photon., Vol.1, pp.232-237,2007.
    [25]J. Liu, X. Sun, D. Pan, X. Wang, L. C. Kimerling, T. L. Koch, and J. Michel, "Tensile-strained, n-type Ge as a gain medium for moNolithic laser integration on Si," Opt. Express, Vol.15, No. 18, pp.11272-11277,2007.
    [26]X. Sun, J. Liu, L. C. Kimerling, and J. Michel, "Room-temperature direct bandgap electroluminescence from Ge-on-Si light-emitting diodes," Opt. Lett., Vol.34, No.8, pp. 1198-1200,2009.
    [27]J. Liu, X. Sun, R. Camacho-Aguilera, L. C. Kimerling, and J. Michel, "Ge-on-Si laser operating at room temperature," Opt. Lett. Vol.35, No.5, pp.679-681,2010.
    [28]A. W. Fang, H. Park, O. Cohen, R. Jones, M. J. Paniccia, and J. E. Bowers, "Electrically pumped hybrid AlGaInAs-silicon evanescent laser," Vol.14, No.20, pp.9203-9210,2006.
    [29]A. W. Fang, B. R. Koch, R. Jones, E. Lively, Di Liang, Y. Kuo, and J. E. Bowers, "A Distributed Bragg Reflector Silicon Evanescent Laser," IEEE Photon. Tech. Lett., Vol.20, No. 20, pp.1667-1669,2008.
    [30]J. V. Campenhout, L. Liu, P. R. Romeo, D. V. Thourhout, C. Seassal, P. Regreny, L. D. Cioccio, J. Fedeli, and R. Baets, "A Compact SOI-Integrated Multiwavelength Laser Source Based on Cascaded InP Microdisks," Vol.20, No.16, pp.1345-1347,2008.
    [31]D. Liang, M. FiorentiNo, S. Srinivasan, J. E. Bowers, and R. G. Beausoleil, "Low Threshold Electrically-Pumped Hybrid Silicon Microring Lasers," IEEE J. Select. Topics Quantum Electron., Vol.17, No.6, pp.1528-1533,2011.
    [32]G. T. Reed, G. MashNovich, F. Y. Gardes, and D. J. Thomson, "Silicon Optical Modulators," Nature Photon., Vol.4, pp.518-526,2010.
    [33]R. A. Soref and B. R. Bennett, "Electrooptical Effects in Silicon," IEEE J. Quantum Electron., Vol. qe-23, No. 1,pp.123-129,1987.
    [34]Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, "Micrometre-scale silicon electro-optic modulator," Nature, Vol.435, pp.325-327,2005.
    [35]Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, "12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators," Opt. Express, Vol.15, No.2, pp.430-436,2007.
    [36]S. Manipatruni, Q. Xu, B. Schmidt, J. Shakya, and M. Lipson, "High speed carrier injection 18 Gb/s silicon micro-ring electro-optic modulator," IEEE Proc. Lasers and Electro-Optics Soc. pp.537-538,2007.
    [37]K. Preston, S. Manipatruni, A. Gondarenko, C. B. Poitras, and M. Lipson, "Deposited silicon high-speed integrated electro-optic modulator," Opt. Express, Vol.17, No.7, pp.5118-5124, 2009.
    [38]Q. Xu, "Silicon dual-ring modulator," Opt. Express, Vol.17, No.23, pp.20783-20793,2009.
    [39]S. Manipatruni, R. K. Dokania, B. Schmidt, N. Sherwood-Droz, C. B. Poitras, A. B. Apsel, and M. Lipson, "Wide temperature range operation of micrometer-scale silicon electro-optic modulators," Opt. Lett., Vol.33, No.19, pp.2185-2187,2008.
    [40]P. Dong et al, "Low Vpp, ultralow-energy, compact, high-speed silicon electrooptic modulator," Opt. Express, Vol.17, No.25, pp.22484-22490,2009.
    [41]L. Chen, K. Preston, S. Manipatruni, and M. Lipson, "Integrated GHz silicon photonic interconnect with micrometer-scale modulators and detectors," Opt. Express, Vol 17, No.17, pp.15248-15256,2009.
    [42]P. Dong et al, "Wavelength-tunable silicon microring modulator," Opt. Express, Vol 18, No. 11, pp.10941-10946,2009.
    [43]T. Baba et al, "50-Gb/s ring-resonator-based silicon modulator," Opt.Express, Vol 21, No.10, pp.11869-11876,2013.
    [44]H. Yu, M. Pantouvaki, S. Dwivedi, P. Verheyen, G. Lepage, R. Baets, W. Bogaerts, P. Absil, and J. V. Campenhout, "Compact Thermally Tunable Silicon Racetrack Modulators Based on an Asymmetric Waveguide," IEEE Photon. TechNol. Lett., Vol.25, No.2, pp.159-162,2013.
    [45]L. Liao, D. Samara-Rubio, M. Morse, A. Liu, and D. Hodge, "High speed silicon Mach-Zehnder modulator," Opt. Express, Vol 13, No.8, pp.3129-3135,2005.
    [46]W. M. J. Green, M. J. Rooks, L. Sekaric, and Y. A. Vlasov, "Ultra-compact, low RF power,10 Gb/s silicon Mach-Zehnder modulator," Opt. Express, Vol.15, No.25, pp.17106-17113, 2007.
    [47]J. Ding, H. Chen, L. Yang, L. Zhang, R. Ji, Y. Tian, W. Zhu, Y. Lu, P. Zhou, and R. Min, "Low-Voltage, high-extinction-ratio, Mach-Zehnder silicon optical modulator for CMOS-compatible integration," Opt. Express, Vol.20, No.3, pp.3209-3218,2012.
    [48]J. Ding, H. Chen, L. Yang et al, "Ultra-low-power carrier-depletion Mach-Zehnder silicon optical modulator," Opt. Express, Vol.20, No.7, pp.7081-7087,2012.
    [49]X. Xiao, H. Xu, X. Li, Z. Li, T. Chu, Y. Yu, and J. Yu, "High-speed, low-loss silicon Mach-Zehnder modulators with doping optimization," Opt. Express, Vol.21, No.4, pp. 4116-4125,2013.
    [50]L. Liao, A. Liu, D. Rubin, J. Basak, Y. Chetrit, H. Nguyen, R. Cohen, N. Izhaky, and M. Paniccia, "40 Gbit/s silicon optical modulator for highspeed applications," Electron. Lett., Vol. 43, No.22, pp.1196-1197,2007.
    [51]S. Manipatruni, Q. Xu, and M. Lipson, "PINIP based high-speed high-extinction ratio micron-size silicon electro-optic modulator," Opt. Express, Vol.15, No.20, pp.13035-13042, 2007.
    [52]Z. Li, D. Xu, W. R. McKinNon, S. Janz, J. H. Schmid, P. Cheben, and J. Yu, "Silicon waveguide modulator based on carrier depletion in periodically interleaved PN junctions," Opt. Express, Vol.17, No.18, pp.15947-15958,2009.
    [53]H. Yu et al, "Performance tradeoff between lateral and interdigitated doping patterns for high speed carrier-depletion based silicon modulators," Opt. Express, Vol.20, No.12, pp. 12926-12938,2012.
    [54]X. Xiao, H. Xu, X. Li, Y. Hu, K. Xiong, Z. Li, T. Chu, Y. Yu, and J. Yu, "25 Gbit/s silicon microring modulator based on misalignment-tolerant interleaved PN junctions," Opt. Express, Vol.20, No.3, pp.2507-2515,2012.
    [55]S. J. Koester, J. D. Schaub, G. Dehlinger, and J. O. Chu, "Germanium-on-SOI infrared detectors for integrated photonic applications," IEEE. J. Sel. Top. Quant. Electron., Vol 12, No.6, pp.1489-1502,2006.
    [56]D. Choia, Y. Gea, J. S. Harrisa, J. Cagnonb, and S. Stemmer, "Low surface roughness and threading dislocation density Ge growth on Si (001)," J. Cryst. Growth, Vol.310, No.18, pp. 4273-4279,2008.
    [57]J. Nakatsuru, H. Date, S. Mashiro, and M Ikemoto, "Growth of high quality Ge epitaxial layer on Si (100) substrate using ultra thin Si0.5Ge0.5 buffer," Mater. Res. Soc. Symp. Proa, Vol. 891, pp.315-320,2006.
    [58]T. A. Langdo, C. W. Leitz, M. T. Currie, and E. A. Fitzgerald, "High quality Ge on Si by epitaxial necking," Appl. Phys. Lett., Vol.76, No.25, pp.3700-3702,2000.
    [59]J. Bai, J.-S. Park, Z. Cheng, M. Curtin, B. Adekore, M. Carroll, A. Lochtefeld, and M. Dudley, "Study of the defect elimination mechanisms in aspect ratio trapping Ge growth," Appl. Phys. Lett., Vol.90,101902,2007.
    [60]Y. Ishikawa et al, "Strain-induced band gap shrinkage in Ge grown on Si substrate," Appl. Phys. Lett., Vol.82, No.13, pp.2044-2046,2003.
    [61]J. Liu et al, "Deformation potential constants of biaxially tensile stressed Ge epitaxial films on Si (100)," Phys. Rev. B, Vol 70,155309,2004.
    [62]J. Liu et al, "Tensile strained Ge p-i-n photodetectors on Si platform for C and L band telecommunications," Appl. Phys. Lett., Vol.87,011110,2005.
    [63]J. Michel, J. Liu, and L. C. Kimerling, " High-performance Ge-on-Si photodetectors," Nature Photon., Vol.4, pp.527-534,2010.
    [64]M. S. Rasras, D. M. Gill, S. S. Patel, K.Y. Tu, Y. K. Chen, and A. E. White, "Process Flow Innovations for Photonic Device Integration in CMOS," Proc. SPIE, Vol.6898,689804,2008.
    [65]J. F. Liu et al, "Waveguide integrated Ge p-i-n photodetectors on a silicon-on-insulator platform," 2006 OVC Int. Symp. Optoelectronics 1-4,2006.
    [66]L. Vivien, "High speed and high responsivity germanium photodetector integrated in a Silicon-On-Insulator microwaveguide," Opt. Express, Vol.15, No.15, pp.9843-9848,2007.
    [67]T. Yin, R. Cohen, M. M. Morse, G. Sarid, Y. Chetrit, D. Rubin, and M. J. Paniccia, "31 GHz Ge n-i-p waveguide photodetectors on Silicon-on-Insulator substrate," Opt. Express, Vol.15, No.21, pp.13965-13971,2007.
    [68]G. Masini, S. Sahni, G. Capellini, J. Witzens, and C. Gunn, "High-Speed Near Infrared Optical Receivers Based on Ge Waveguide Photodetectors Integrated in a CMOS Process," Adv. Opt. Technol., Vol.2008,196572,2008.
    [69]D. Feng et al, "High-speed Ge photodetector monolithically integrated with large cross-section silicon-on-insulator waveguide, "Appl. Phys. Lett., Vol 95,261105,2009.
    [70]T. Liow et al, "Silicon Modulators and Germanium Photodetectors on SOI:Monolithic Integration, Compatibility, and Performance Optimization," IEEE J. Set. Top. Quant. Electron., Vol 16, No.1, pp.307-315,2010.
    [71]L. Vivien et al, "Zero-bias 40Gbit/s germanium waveguide photodetector on silicon," Opt. Express, Vol.20, No.2, pp.1096-1101,2012.
    [72]L. Virot et al, "High-performance waveguide-integrated germanium PIN photodiodes for optical communication applications," Photon. Research, Vol.1, No.3, pp.140-147,2013.
    [73]L. Wang et al, "Athermal arrayed waveguide gratings in silicon-on-insulator by overlaying a polymer cladding on narrowed arrayed waveguides," Appl. Opt., Vol.51, No.9, pp. 1251-1256,2012.
    [74]S. T. S. Cheung, B. Guan, S. S. Djordjevic, K. Okamoto, and S. J. B. Yoo, "Low-loss and high contrast silicon-on-insulator (SOI) arrayed waveguide grating," CLEO:Science and Innovations, San Jose, USA,2012.
    [75]F. Horst, W. M. J. Green, B. J. Offrein, and Y. A. Vlasov, "Silicon-on-insulator Echelle Grating WDM Demultiplexers with Two Stigmatic Points," IEEE Photon. Technol. Lett., Vol. 21, No.23, pp.1743-1745,2009.
    [76]S. Park, S. Kim, J. Park, and G Kim, "Echelle grating silicon multi/demultiplexers with single-reflection total internal reflectors," Opt. Express, Vol.20, No.21, pp.23582-23586, 2012.
    [77]M. Geng, L. Jia, L. Zhang, L. Yang, P. Chen, T. Wang, and Y. Liu, "Four-channel reconfigurable optical add-drop multiplexer based on photonic wire waveguide," Opt. Express, Vol.17, No.7, pp.5502-5516,2009.
    [78]P. Dong et al, "Low power and compact reconfigurable multiplexing devices based on silicon microring resonators," Opt. Express, Vol.18, No.8, pp.9852-9858,2010.
    [79]M. S. Dahlem et al, "Reconfigurable multi-channel second-order silicon microring-resonator filterbanks for on-chip WDM systems," Opt. Express, Vol.19, No.1, pp.306-316,2010.
    [80]H. Pan et al, "250 Gbps 10-channel WDM silicon photonics receiver," 9th International Conference on Group IV Photonics (GFP), pp.162-164,2012.
    [81]S. Park et al, "Monolithic integration and synchronous operation of germanium photodetectors and silicon variable optical attenuators," Opt. Express, Vol.18, No.8, pp. 8412-8421,2010.
    [82]Q. Fang, Y. T. Phang, C. W. Tan, T. Liow, M. B. Yu, G. Q. Lo, and D. L. Kwong, "Multi-channel silicon photonic receiver based on ring-resonators," Opt. Express, Vol.18, No. 13, pp.13510-13515,2010.
    [83]T. Pinguet, P. Dobbelaere, D. Foltz, S. Gloeckner, S. Hovey, L. Yi, M. Mack, G. Masini, A. Mekis, M. Peterson, T. Pinguet, S. Sahni, J. Schramm, M. Sharp, L. Verslegers, B. Welch, K. Yokoyama, and Y. Shuhuan, "25 Gb/s Silicon Photonic Transceivers," Group IV Photonic Conference, pp.189-191,2012.
    [84]W. Guo, Y. Zhao, W. Wang, H. Shao, J. Yang, and X. Jiang, "Design and Fabrication of a Monolithic Optoelectronic Integrated Circuit Chip Based on CMOS Compatible Technology," Chin. Phys. Lett. Vol.29, No.4,044209,2012.
    [85]S. Jatar, Y. Malinge, Z. Zhou, H. Liang, S. Liao, Z. Li, C. Bushyakanist, D. Lee, R.Shafiiha, J. Luff, D. Feng, and M. Asghari, "Performance of parallel 4x25 Gbs transmitter and receiver fabricated on SOI platform," Group IV Photonic Conference, pp.159-161,2012.
    [86]Y. Urino et al, "First demonstration of high density optical interconnects integrated with lasers, optical modulators, and photodetectors on single silicon substrate," Opt. Express, Vol.19, No. 26, pp. B159-B165,2011.
    [87]D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, and N. P. Jouppi, "Corona:System implications of emerging nanophotonic technology," In:Computer Architecture, ISCA'08. 35th International Symposium, Beijing, China,2008.
    [88]R. G. Beausoleil, J. Ahn,N. Binkert, A. Davis, D. Fattal, M. Fiorentino, and N. P. Jouppi, "A Nanophotonic interconnect for high-performance many-core computation," In:Group IV Photonics,5th IEEE International Conference, Sorrento, Italy,2008.
    [89]X. Wu, Y. Ye, W. Zhang, W. Liu, Nikdast. M., X. Wang, and J. Xu, "UNION:A unified inter/intra-chip optical network for chip multiprocessors," IEEE/ACM International Symposium on Nanoscale Architectures (NANOARCH),2010.
    [90]J. Chan, G. Hendry, A. Biberman, and K. Bergman, "Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis," J. Lightwave Technol., Vol.28, No.9, pp.1305-1315,2010.
    [91]http://researcher.ibm.com/researcher/files/us-yvlasov/vlasov%20ECOC%20tutorial%202008. pd
    [1]李玉权,崔敏,光波导理论与技术,人民邮电出版社,2002.
    [2]F. P. Payne and J. P. R. Lacey, "A theoretical analysis of scattering loss from planar optical waveguides," Opt. & Quantum Electron., Vol.26, pp.977-986.
    [3]马春生,刘式墉,光波导模式理论,吉林大学出版社,2006.
    [4]J. Cardenas, C. B. Poitras, J. T. Robinson, K. Preston, L. Chen, and M. Lipson, "Low loss etchless silicon photonic waveguides," Opt. Express, Vol.17, No.6, pp.4752-4757,2009.
    [5]耿敏明,硅基集成化可重构光插分复用器的研究,中国科学院研究生院博士学位论文,2009.
    [6]R. A. Soref and B. R. Bennett, "Electrooptical effects in silicon," J. Quantum. Electron., Vol. 23,No. 1,pp.123-129,1987.
    [7]A. Cutolo, M. Iodice, P. Spirito, et al, "Silicon electro-optic modulator based on a three terminal device integrated in a low-loss single-mode SOI waveguide," J. Lightwave Technol., Vol.15, No.3, pp.505-517,1997.
    [8]S. R. Giguere, L. Friedman, R. A. Soref, et al, "Simulation studies of silicon electro-optic waveguide devices," J. Appl. Phys., Vol.68, No.10, pp.4964-4970,1990.
    [9]Q. Xu, B. Schmidt, S. Pradhan, and M. Lipson, "Micrometre-scale silicon electro-optic modulator," Nature, Vol.435, pp.325-327,2005.
    [10]Q. Xu, S. Manipatruni, B. Schmidt, J. Shakya, and M. Lipson, "12.5 Gbit/s carrier-injection-based silicon micro-ring silicon modulators," Opt. Express, Vol.15, No.2, pp.430-436,2007.
    [11]T. Baba et al, "50-Gb/s ring-resonator-based silicon modulator," Opt.Express, Vol 21, No.10, pp.11869-11876,2013.
    [12]X. Xiao, H. Xu, X. Li, Z. Li, T. Chu, Y. Yu, and J. Yu, "High-speed, low-loss silicon Mach-Zehnder modulators with doping optimization," Opt. Express, Vol.21, No.4, pp. 4116-4125,2013.
    [13]G. Cocorullo, F. G D. Corte, and I. Rendina, "Temperature dependence of the thermo-optic coefficient incrystalline silicon between room tempetature and 550K at the wavelength of 1523nm," Appl. Phys. Lett., Vol.74, No.22, pp.3338-3340,1999.
    [14]J, Komma, C. Schwarz, G. Hofmann, D. Heinert, and R. Nawrodt, "Thermo-optic coefficient of silicon at 1550 nm and cryogenic temperatures," Appi. Phys. Lett., vol.101,041905,2012.
    [15]张磊,硅基集成化光学导向逻辑器件的研究,中国科学院研究生院博士学位论文,2011.
    [16]D.G. Rabus, "Integrated ring resonators," Springer Series in Optical Science,2007.
    [17]A. Yariv, "Universal relations for coupling of optical power between microresonators and dielectric waveguides," Electron. Lett., Vol.36, No.4, pp.321-322,2000.
    [18]A. Yariv, "Critical coupling and its control in optical waveguide-ring resonator systems," IEEE Photon. Technol. Lett., Vol.14, No.4, pp.483-485,2002.
    [1]B.E. Little, S.T. Chu, P.P. Absil, J.V. Hryniewicz, F.G. Johnson, F. Seiferth, D. Gill, V. Van, O. King, and M. Trakalo, "Very High-Order Microring Resonator Filters for WDM Applications," IEEE Photon. Technol. Lett., Vol.16, No.10, pp.2263-2265,2004.
    [2]B. E. Little, S. T. Chu, H. A. Haus, J. Foresi, and J.-P. Laine, "Microring Resonator Channel Dropping Filters," Lightwave Technol., Vol.15, No.6, pp.998-1005,2007.
    [3]马春生,刘式墉,光波导模式理论,吉林大学出版社,2006.
    [4]F. Xia, L. Sekaric, M. O'Boyle, and Y. Vlasov, "Coupled resonator optical waveguides based on silicon-on-insulator photonic wires," Appl. Phys. Lett., Vol.89, No.4,041122,2006.
    [5]M. A. Popovic, T. Barwicz, M.R. Watts, P.T. Rakich, L. Socci, E.P. Ippen, F.X. Kartner, and H.I. Smith, "Multistage high-order microring-resonator add-drop filters," Opt. Lett., Vol.31, No.17, pp.2571-2573,2006.
    [6]F. Xia, M. Rooks, L. Sekaric, and Y. Vlasov, "Ultra-compact high order ring resonator filters using submicron silicon photonic wires for on-chip optical interconnects," Opt. Express, Vol. 15, No.19, pp.11934-11941,2007.
    [7]S. Xiao, M.H. Khan, H. Shen, and M. Qi, "Multiple-channel silicon micro-resonator based filters for WDM applications," Opt. Express, Vol.15, No.12, pp.7489-7498,2007.
    [8]S. Xiao, M.H. Khan, H. Shen, and M. Qi, "A highly compact third-order silicon microring add-drop filter with a very large free spectral range, a flat passband and a low delay dispersion," Opt. Express, Vol.15, No.22, pp.14765-14771,2007.
    [9]A. Yariv, "Universal relations for coupling of optical power between microresonators and dielectric waveguides," Electron. Lett., Vol.36, No.4, pp.321-322,2000.
    [10]A. Yariv, "Critical coupling and its control in optical waveguide-ring resonator systems,' IEEE Photon. Technol. Lett., Vol.14, No.4, pp.483-485,2002.
    [11]邱晨,基于微环谐振腔可调特性的硅光子应用研究,浙江大学博士学位论文,2013.
    [12]T. Hu, W. Wang, C. Qiu, P. Yu, H. Qiu, Y. Zhao, X. Jiang, and J Yang, "Thermally Tunable Filters Based on Third-Order Microring Resonators for WDM Applications," IEEE Photon. Technol. Lett., Vol.24, No.6, pp.524-526,2012.
    [1]R. G. Beausoleil, P. J. Kuekes, G. S. Snider, S. Wang, and R. S. Williams, "Nanoelectronic and nanophotonic interconnect," IEEE Proc., Vol.96, No.2, pp.230-247,2008.
    [2]K. Shacham, K. Bergman, L. P. Carloni, Bergman, and L. P. Carloni, "Photonic networks-on-chip for future generations of chip multiprocessors," IEEE Trans. Comput., Vol 57,No.9,1246-1260,2008.
    [3]B. G. Lee, A. Bibennan, J. Chan, and K. Bergman, "High-performance modulators and switches for silicon photonic networks-on-chip," IEEE J. Sel. Top. Quantum Electron., Vol.16, No.1,pp.6-22,2010.
    [4]F. Benner, M. Ignatowski, J. A. Kash, D. M. Kuchta, and M. B. Ritter, "Exploitation of optical interconnects in future server architectures," IBM J. Res. Develop., Vol.49, No.4, pp. 755-775,2005.
    [5]D. Vantrease, R. Schreiber, M. Monchiero, M. McLaren, and N. P. Jouppi, "Corona:System implications of emerging nanophotonic technology," In:Computer Architecture, ISCA'08. 35th International Symposium, Beijing, China,2008.
    [6]J. Chan, G. Hendry, A. Bibennan, and K. Bergman, "Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis," J. Lightwave Technol., Vol.28, No.9, pp.1305-1315,2010.
    [7]B. G. Lee, A. Biberman, J. Chan, and K. Bergman, "High-performance modulators and switches for silicon photonic networks-on-chip," IEEE J. Sel. Top. Quantum Electron., Vol. 16, No.1, pp.6-22,2010.
    [8]http://researcher.ibm.com/researcher/files/us-yvlasov/vlasov%20ECOC%20tutorial%202008. pdf.
    [9]N. Sherwood-Droz, H. Wang, L. Chen, B. G. Lee, A. Biberman, K. Bergman, and M. Lipson, "Optical 4x4 hitless slicon router for optical networks-on-chip (NoC)," Opt. Express, Vol.16, No.20, pp.15915-15922,2008.
    [10]R. Ji, J. Xu, and L. Yang, "Five-port optical router based on microring switches for photonic networks-on-chip," IEEE Photon. Technol. Lett., Vol.25, No.5, pp.492-494,2013.
    [11]R. Min, R. Ji, Q. Chen, L. Zhang, and L. Yang, "A universal method for constructing N-port nonblocking optical router for photonic networks-on-chip," J. Lightwave Tech., Vol.30, No. 23, pp.3736-3741,2012.
    [12]T. Hu, H. Qiu, P. Yu, C. Qiu, W. Wang, X. Jiang, M. Yang, and J. Yang, "Wavelength-selective 4*4 nonblocking silicon optical router for networks-on-chip," Opt. Lett., Vol.36, No.23, pp.4710-4712,2011.
    [13]L. Zhou, S. S. Djordjevic, R. Proietti, D. Ding, S. Yoo, R. Amirtharajah, and V. Akella, "Design and evaluation of an arbitration-free passive optical crossbar for on-chip interconnection networks," Appl. Phys. A, Vol.95, pp.1111-1118,2009.
    [14]A. Kazmierczak, W. Bogaerts, E. Drouard, F. Dortu, P. Rojo-Romeo, F. Gaffiot, D. Van Thourhout, and D. Giannone, "Highly integrated optical 4x4 crossbar in silicon-on-insulator technology," J. Lightwave Technol., Vol.27, No.16, pp.3317-3323,2009.
    [15]R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding, H. Chen, Y. Lu, P. Zhou, and W. Zhu'"Microring-resonator-based four-port optical router for photonic networks-on-chip," Opt. Express, Vol.19, No.20, pp.18945-18955,2011.
    [16]R. Ji, L. Yang, L. Zhang, Y. Tian, J. Ding, H. Chen, Y. Lu, P. Zhou, and W. Zhu, "Five-port optical router for photonic networks-on-chip," Opt. Express, Vol.19, No.21, pp. 20258-20268,2011.
    [17]T. Hu, H. Shao, L. Yang, C. Xu, M. Yang, H. Yu, X. Jiang, and J. Yang, "Four-port silicon multi-wavelength optical router for photonic networks-on-chip," IEEE Photon. Technol. Lett. Vol.25, No.23, pp.2281-2284,2013.
    [18]M. Yang, W. M. J. Green, S. Assefa, J. Van Campenhout, B. G Lee, C. V. Jahnes, F. E. Doany, C. L. Schow, J. A. Kash, and Y. A. Vlasov, "Non-blocking 4x4 electro-optic silicon switch for on-chip photonic networks," Opt. Express, Vol.19, No.1, pp.47-54,2011.
    [19]X. Li, X. Xiao, H. Xu, Z. Li, T. Chu, J. Yu, and Y. Yu, "Mach-Zehnder-based five-port silicon router for optical interconnects," Opt. Lett., Vol.38, No 10, pp.1703-1705,2013.
    [20]A. Biberman, B. G. Lee, N. ·Sherwood-Droz, M. Lipson, and K. Bergman, "Broadband Operation of Nanophotonic Router for Silicon Photonic Networks-on-Chip," IEEE Photon. Technol. Lett. Vol.22, No.12, pp.926-928,2013.
    [21]A. H. Atabaki, A. A. Eftekhar, M. Askari, and A. Adibi, "Accurate post-fabrication trimming of ultra-compact resonators on silicon," Opt. Express, Vol.21, No.12, pp.14139-14145, 2013.
    [22]M. A. Foster, J. S. Levy, O. Kuzucu, K. Saha, M. Lipson, and A. L. Gaeta, "Silicon-based monolithic optical frequency comb source," Opt. Express, Vol.19, No.15, pp.14233-14239, 2011.
    [23]邱晨,基于微环谐振腔可调特性的硅光子应用研究,浙江大学博士学位论文,2013.
    [24]H. Chen, A.W. Poon, "Low-loss multimode-interference-based crossings for silicon wire waveguides," IEEE Photon. Technol. Lett., Vol.18, No.21, pp.2260-2262,2006.
    [25]J. Komma, C. Schwarz, G Hofmann, D. Heinert, and R. Nawrodt, "Thermo-optic coefficient of silicon at 1550 nm and cryogenic temperatures," Appl. Phys. Lett., vol.101,041905,2012.
    [1]U. Fano, "Effects of Configuration Interaction on Intensities, and Phase Shifts," Phys. Rev., Vol.124, No.6, pp.1866-1878,1961.
    [2]S. Fan, "Sharp asymmetric line shapes in side-coupled waveguide-cavity systems," Appl. Phsy. Lett., Vol.80, No.6, pp.908-910,2002.
    [3]S. Fan and W. Suh, "Temporal coupled-mode theory for the Fano resonance in optical resonators," J. Opt. Soc. Am. A, Vol 20, No.3, pp.569-572,2003.
    [4]C. Chao and L. J. Guo, "Biochemical sensors based on polymer microrings with sharp asymmetrical resonance," Appl. Phys. Lett., Vol.83, No.8, pp.1527-1529,2003.
    [5]Y. Lu, J. Yao, X. Li, and P. Wang, "Tunable asymmetrical Fano resonance and stability in a microcavity-resonator-coupled Mach-Zehnder interferometer," Opt. Lett., Vol.30, No.22, pp. 3069-3071,2005.
    [6]L. Y. Mario, S. Darmawan, and M. K. Chin, "Asymmetric Fano resonance and bistability for high extinction ratio, large modulation depth, and low power switching," Opt. Express, Vol. 14, No.26, pp.12770-12781,2006.
    [7]L. Zhou and A. W. Poon, "Fano resonance-based electrically reconfigurable add-drop fillters in silicon microring resonator-coupled Mach-Zehnder interferometers," Opt. Lett., Vol.32, No.7, pp.781-783,2007.
    [8]F. Wang, X. Wang, H. Zhou, Q. Zhou, Y. Hao, and X. Jiang, "Fano-resonance-based Mach-Zehnder optical switch employing dual-bus coupled ring resonator as two-beam interferometer," Opt. Express, Vol.17, No.9, pp.7708-7716,2009.
    [9]A. E. Miroshnichenko and Y. S. Kivshar, "Fano resonances in nanoscale structures," Rev. of Mod. Phys., Vol.82, pp.2257-2298,2010.
    [10]A. C. Ruege, S. Member, R. M. Reano, and S. Member, "Sharp Fano Resonances From a Two-Mode Waveguide Coupled to a Single-Mode Ring Resonator," J. Lightwave Technol., Vol.28, No.20, pp.2964-2968,2010.
    [11]X. Xiao, J. Wu, F. Miyamaru, M. Zhang, and S. Li, "Fano effect of metamaterial resonance in terahertz extraordinary transmission," Appl. Phys. Lett., Vol.98, No.1, pp.011911,2011.
    [12]R. Singh, I. A. I. Al-naib, M. Koch, and W. Zhang, "Sharp Fano resonances in THz metamaterials," Opt. Express, Vol.19, No.7, pp.6312-6319,2011.
    [13]B. Li, Y. Xiao, C. Zou, X. Jiang, and Y. Liu, "Experimental controlling of Fano resonance in indirectly coupled whispering-gallery microresonators," Appl. Phys. Lett., Vol.100,021108, 2012.
    [14]C. Qiu, P. Yu, T. Hu, F. Wang, X. Jiang, and J. Yang, "symmetric Fano resonance in eye-like microring system," Appl. Phys. Lett., Vol.101,021110,2012.
    [15]R. Singh, I. A. I. Al-Naib, M. Koch, and W. Zhang, "Asymmetric planar terahertz metamaterials," Opt. Express, Vol.18, No.12, pp.13044-13050,2010.
    [16]J. Gu, R. Singh, X. Liu, X. Zhang, Y. Ma, S. Zhang, S. A. Maier, Z. Tian, A. K. Azad, H. Chen, A. J. Taylor, J. Han, and W. Zhang, "Active control of electromagnetically induced transparency analogue in terahertz metamaterials," Nature Commun., Vol.3,1151,2012.
    [17]C. Qiu, T. Hu, P. Yu, A. Shen, F. Wang, X. Jiang, and J. Yang, "A Temperature Sensor Based on Silicon Eye-like Microring with Sharp Asymmetric Fano Resonance," IEEE 9th International Conference on Group IV Photonics, San Diego USA,2012.
    [18]P. Yu, T. Hu, H. Qiu, F. Ge, H. Yu, X. Jiang, and J. Yang, "Fano resonances in ultracompact waveguide Fabry-Perot resonator side-coupled lossy nanobeam cavities," Appl. Phys. Lett. 103,091104,2013.
    [19]A. Yariv, "Universal relations for coupling of optical power between microresonators and dielectric waveguides," Electron. Lett., Vol.36, No.4, pp.321-322,2000.
    [20]A. Yariv, "Critical coupling and its control in optical waveguide-ring resonator systems," IEEE Photon. Technol. Lett., Vol.14, No.4, pp.483-485,2002.
    [21]M. Pu, L. Liu, W. Xue, Yu. Ding, L. H. Frandsen, H. Ou, K. Yvind, and J. Hvam, "Tunable Microwave Phase Shifter Based on Silicon-on-Insulator Microring Resonator," IEEE Photon. Technol. Lett., Vol.22, No.12, pp.869-871,2010.
    [22]王皖君,硅基光波导开关研究,浙江大学博士学位论文.2012.
    [1]J. Schrauwen, D. Van Thourhout, and R. Baets, "Trimming of silicon ring resonator by electron beam induced compaction and strain," Opt. Express, Vol.16, No.6, pp.3738-3743, 2008.
    [2]A. Canciamilla, F. Morichetti, S. Grillanda, P. Velha, M. Sorel, V. Singh, A. Agarwal, L. C. Kimerling, and A. Melloni, "Photo-induced trimming of chalcogenide-assisted silicon waveguides," Opt. Express, Vol.20, No.14, pp.15807-15817,2012.
    [3]L. Zhou, K. Okamoto, and S. J. B. Yoo, "Athermalizing and Trimming of Slotted Silicon Microring Resonators with UV-Sensitive PMMA Upper-Cladding," IEEE Photon. Technol. Lett., Vol.21, No.17, pp.1175-1177,2009.
    [4]S. Lambert, W. De Cort, J. Beeckman, K. Neyts, and R. Baets, "Trimming of silicon-on-insulator ring resonators with a polymerizable liquid crystal cladding," Opt. Lett., Vol.37, No.9, pp.1475-1477,2012.
    [5]S. Prorok, A. Y. Petrov, M. Eich, J. Luo, and A. K. Jen, "Trimming of high-Q-factor silicon ring resonators by electron beam bleaching," Opt. Lett., Vol.37, No.15, pp.3114-3116, 2012.
    [6]R. Min, R. Ji, Q. Chen, L. Zhang, and L. Yang, "A universal method for constructing N-port nonblocking optical router for photonic networks-on-chip," J. Lightwave Tech., Vol.30, No. 23, pp.3736-3741,2012.
    [7]J. Chan, G. Hendry, A. Biberman, and K. Bergman, "Architectural Exploration of Chip-Scale Photonic Interconnection Network Designs Using Physical-Layer Analysis," J. Lightwave Technol., Vol.28, No.9, pp.1305-1315,2010.
    [8]Y. Ye, J. Xu, B. Huang, X. Wu, W. Zhang, X. Wang, M. Nikdast, Z. Wang, W. Liu, and Z. Wang, "3D Mesh-based Optical Network-on-Chip for Multiprocessor System-on-Chip," IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, Vol.32, No.4, pp.584-596,2013.
    [9]Y. Ye, J. Xu, X. Wu, W. Zhang, W. Liu, M. Nikdast, "A Torus-based Hierarchical Optical-Electronic Network-on-Chip for Multiprocessor System-on-Chip," ACM Journal on Emerging Technologies in Computing Systems, Vol.8, No 1,2012.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700