铪基高介电常数栅介质薄膜的制备及其物性研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体技术的日新月异,MOSFET的特征尺寸不断缩小,栅介质等效氧化物层厚度已缩小至纳米量级,这时电子的直接隧穿效应加剧,严重影响器件的稳定性和可靠性,因此需要寻找新型high-k栅介质材料来替代传统的SiO2栅极材料。通过对物性的综合分析,我们选取了具有高化学稳定性和中等介电常数的铪基高介电栅介质薄膜为研究对象,围绕新栅极材料的结构稳定性、缺陷评价、界面结构及提高晶化温度等关键问题进行超薄膜材料制备方法和微结构的探索。本论文的主要研究内容和创新点如下:
     1.研制了一台直流和射频磁控溅射相结合的多功能等离子体化学气相淀积设备,发展了掺N技术和Al_2O_3-HfO_2复合薄膜的制备技术,在衬底上合成了多种Hf基高介电超薄膜体系,为研究上述关键问题奠定了材料基础。
     2.发现了N掺杂有利于提高薄膜的晶化温度、抑制界面层生长、减少缺陷的作用,还可以利用N掺杂来调控HfO_2薄膜的能隙。
     3.利用磁控溅射法获得了HfO_2-Al_2O_3层状复合栅介电薄膜,研究表明Al_2O_3复合有效提高了薄膜的晶化温度、FTIR测试结果表明经过1000℃退火的纯HfO_2薄膜和Si衬底之间的界面出现较强的Si-O键的红外吸收峰,而同等条件下Al_2O_3复合的薄膜该峰的强度明显下降,这表明HfO_2-Al_2O_3复合栅介电薄膜能较有效地抑制界面中Si-O键的生成,提高了界面热稳定性。
     4.通过对不同衬底温度下HfOxNy超薄膜相关物性的研究,找出了抑制界面层生长、减少界面层缺陷最佳衬底温度范围。
     上述这些结果都为未来选择HfOxNy和HfO_2-Al_2O_3作为栅介质材料提供了可靠的借鉴依据。
With the continuous development of semiconductor technology, the feature size of MOSFET devices is scaling down rapidly. Especially when the thickness of equivalent oxide of MOSFET is down to nanometer magnitude, the electron tunneling is becoming serious enough to endanger the stability and reliability of devices. It is an urgent task now to seek novel high k dielectrics to substitute the traditional SiO2 gate dielectric in microelectronic industry. Based on the analysis of physical properties, we select Hf-based high k gate dielectric thin films as the candidate materials due to its medium dielectric constant and good chemical stability. Some important issues, such as structural stability, assessment of defects, interfacial structure and increasing the crystallization temperature of the new gate dielectrics, have been investigated in this dissertation. The main research results and originalities of the thesis are as follows.
     1. We designed and set up a multifunctional deposition system of direct current (DC) and radio-frequency (RF) magnetron sputtering, and developed an incorporating N technology. A series of Hf based high k gate dielectrics and Al_2O_3-HfO_2 laminate thin films were prepared successfully through these technologies which establish the materials foundation for study the important issues mentioned above.
     2. We found that incorporating N into HfO_2 thin films in favor of increasing the crystallization temperature of HfO_2 thin films, suppressing the interfacial layer growth, and reducing defects of the films. Using N incorporated technology, the band gap of HfO_2 thin films can also been modulated.
     3. HfO_2-Al_2O_3 nanolaminate films have been obtained by magnetron sputtering. It has been found that adding Al_2O_3 to HfO_2 thin films increase the crystallization temperature of HfO_2 thin films effectively. FTIR analysis shows that relatively strong Si-O bond vibration pecks have been observed between the pure HfO_2 thin films and the Si substrate after the HfO_2 thin films were annealed at 1000 oC, while adding Al_2O_3 to HfO_2 thin films at the same annealing condition, the intensity of the Si-O peaks decreased obviously. The results indicate that HfO_2-Al_2O_3 thin films suppress Si-O bond growth at the interfacial layers effectively, and increase the thermal stability of interfacial layer.
     4. Through the analysis of the characteristics of HfOxNy thin films deposited at different substrate temperatures, we obtained the optimal temperature range to suppress interfacial layer growth, and to decrease defects of interfacial layer between the high k gate dielectric thin films and Si substrate.
     These studies are valuable for selection and optimization of HfOxNy and HfO_2-Al_2O_3 thin films as gate dielectrics in the future.
引文
[1] G. E. Moore, Cramming more components onto integrated circuits, Electronics, 1965 (38): 114-117.
    [2] 方志鸣, 微电子科学技术在材料物理方面的一些最新发展进程, 黄山学院学报, 2004 (6): 34-38.
    [3] 张兴, 新型半导体器件及工艺基础研究, 中国基础科学, 2003 (5): 16-19.
    [4] 相文峰, 硅基集成电路的发展和新一代栅极氧化物材料的研究现状, 物理, 2003 (32): 228-234.
    [5] M. Leong, B. Doris, J. Kedzierski, K. Rim, and M. Yang, Silicon device scaling to the sub-10-nm regime, Science, 2004 (306): 2057-2060.
    [6] H. S. P. Wong, D. J. Frank, P. M. Solomon, C. H. J. Wann, and J. J. Welser, Nanoscale CMOS, Proceedings of the IEEE, 1999 (87): 537-570.
    [7] H. S. P. Wong, Beyond the conventional transistor, IBM Journal of Research And Development, 2002 (46): 133-168.
    [8] 甘学温, 黄如, 刘晓彦, 张兴. 纳米 CMOS 器件, 北京, 科学出版社, 2004.
    [9] G. D. Wilk, R. M. Wallace, and J. M. Anthony, High-k gate dielectrics: Current status and materials properties considerations, J. Appl. Phys., 2001 (89): 5243-5275.
    [10] T. Ito, and S. Okazaki, Pushing the limits of lithography, Nature, 2000 (406): 1027-1031.
    [11] D. C. Ockwell, N. C. E. Crosland, and V. C. Kempson, Synchrotron light as a source for extreme ultraviolet lithography, J. Vac. Sci. Technol. B, 1999 (17): 3043-3046.
    [12] R. H. Stulen, Progress in the development of extreme ultraviolet lithography exposure systems, Microelectron. Eng., 1999 (46): 19-22.
    [13] J. S. Goo, Scalability of strained-Si nMOSFETs down to 25 nm gate length, IEEE Electron Device Lett., 2003 (24): 351-353.
    [14] D. Wu, A novel strained Si0.7Ge0.3 surface-channel pMOSFET with an ALD TiN/Al_2O_3/HfAlOx/Al_2O_3 gate stack, IEEE Electron Device Lett., 2003 (24):171-173.
    [15] K. Maex, M. R. Baklanov, D. Shamiryan, F. Lacopi, S. H. Brongersma, and Z. S Yanovitskaya, Low dielectric constant materials for microelectronics, J. Appl. Phys., 2003 (93): 8793-8841.
    [16] M. H. Devoret, and R. J. Schoelkopf, Amplifying quantum signals with the single-electron transistor, Nature, 2000 (406): 1039-1046.
    [17] C. Kim, M. Shtein, and S. R. Forrest, Nanolithography based on patterned metal transfer and its application to organic electronic devices, Appl. Phys. Lett., 2002 (80): 4051-4053.
    [18] R. H Dennard, F. H. Gaensslen, H. -N. Yu, V. L. Rideout, E. Bassous, and A. R. LeBlanc, Design of ion-implanted MOSFET’s with very small physical dimensions, IEEE J. Solid-State Circuits, vol. SC-9 (1974): 256-268.
    [19] D. A. Muller, T. Sorsch, S. Moccio, F. H. Baumann, K. Evans-Lutterodt, and G. Timp, The electronic structure at the atomic scale of ultrathin gate oxides, Nature, 1999 (399): 758-761.
    [20] S. P. Tang, R. M. Wallace, A. Seabaugh, and D. King-Smith, Evaluating the minimum thickness of gate oxide on silicon using first-principles method, Appl. Surf. Sci., 1998 (135): 137-142.
    [21] H. S. Momose, 1.5 nm direct-tunneling gate oxide Si MOSFET, IEEE Transactions on Electron Devices, 1996 (43): 1233-1242.
    [22] S. H. Lo, D. A. Buchanan, Y. Taur, and W. Wang, Quantum-mechanical modeling of electron tunneling current from the inversion layer of ultra-thin-oxide NMOSFETS, IEEE Electron Device Lett., 1997 (18): 209-211.
    [23] Y. Taur,Y. -J. Mii, D. J. Frank, H. -S. Wong, D. A. Buchanan, S. J. Wind, S. A. Rishton, G. A. Sai-Halasz, and E. J. Nowak, CMOS Scaling onto the 21st centuty: 0.1μm and Beyond, IBM J. Res. Develop., 39, (1995): 245.
    [24] G. Lucovski, Y. Wu, H. Niimi, V. Misra, and J. C. Phillips, Bonding constraints and defect formation at interfaces between crystalline silicon and advanced single layer and composite gate dielectrics, Appl. Phys. Lett., 1999 (74): 2005-2007.
    [25] J. M. Hergenrother, Current density of double gate planar transistors, Tech. Dig. Int. Electron Devices Meet, (1999): 75-81.
    [26] H. S. Wong, Oxide thickness of vertical Transistors, Tech. Dig. Int. Electron Devices Meet, (1997): 427-429.
    [27] R. Degraeve, New insights in the relation between electron trap generation and the statistical properties of oxide breakdown, IEEE Transactions on Electron Devices, 1998 (45): 904-911.
    [28] J. H. Stathis, Percolation models for gate oxide breakdown, J. Appl. Phys., 1999 (86): 5757-5766..
    [29] M. Cao, P. V. Voorde, M. Cox, and W. Greene, Boron diffusion and penetration in ultrathin oxide with poly-Si gate, IEEE Electron Device Lett., 1998 (19): 291-293.
    [30] K. A. Ellis, and R. A. Buhrman, Boron diffusion in silicon oxides and oxynitrides, J. Electrochem. Soc., 1998 (145): 2068-2074.
    [31] K. A. Ellis, and R. A. Buhrman, Time-dependent diffusivity of boron in silicon oxide and oxynitride, Appl. Phys. Lett., 1999 (74): 967-969.
    [32] A. I. Kingon, J. -P. Maria, and S. K. Streiffer, Alternative dielectrics to silicon dioxide for memory and logic divices, Nature, 2000 (406): 1032-1038.
    [33] R. D. Shannon, Dielectric Polarizabilities of Ions in Oxides and Fluorides J. Appl. Phys. Leet., 1993 (73): 348-366.
    [34] P. W. Peacock, and J. Robertson, Band offsets and Schottky barrier heights of high dielectric constant oxides, J. Appl. Phys., 2002 (92): 4712-4721.
    [35] J. Robertson, Band offsets of wide-band-gap oxides and implications for future electronic devices, J. Vac. Sci. Technol. B, 2000 (18): 1785-1791.
    [36] J. Robertson, and C. W. Chen, Schottky barrier heights of tantalum oxide, barium strontium titanate, lead titanate, and strontium bismuth tantalite, Appl. Phys. Lett., 1999 (74): 1168-1170.
    [37] S. A. Chambers, Y. Liang, Z. Yu, R. Droopad, J. Ramdani, and K. Eisenbeiser, Band discontinuities at epitaxial SrTiO3/Si (001) heterojunctions, Appl. Phys. Lett., 2000 (77): 1662-1664.
    [38] R. A. McKee, F. J. Walker, and M. F. Chisholm, Physical structure and inversion charge at a semiconductor interface with a crystalline oxide, Science, 2001 (293): 468-471.
    [39] R. A. McKee, F. J. Walker, M. B. Nardelli, W. A. Shelton, and G. M. Stocks, The interface phase and the Schottky barrier for a crystalline dielectric on silicon, Science, 2003 (300): 1726-1730.
    [40] C. J. F?rst, C. R. Ashman, K. Schwarz, and P. E. Blochl, The interface between silicon and a high-k oxide, Nature, 2004 (427): 53-56.
    [41] K. J. Hubbard, and D. G. Schlom, Thermodynamic stability of binary oxides in contact with silicon, J. Mater. Res., 1996 (11): 2757-2776.
    [42] T. M. Klein, D. Niu, W. S. Epling, W. Li, D. M. Maher, C. C. Hobbs, R. I. Hegde, I. J. R. Baumvol, and G. N. Parsons, Evidence of aluminum silicate formation during chemical vapor deposition of amorphous Al_2O_3 thin films on Si (100), Appl. Phys. Lett., 1999 (75): 4001-4003.
    [43] T. P. Ma, 6th Int. Conf. Solid-State & IC Tech., 2001 (1): 297.
    [44] M. Houssa, V. V. Afanas’ev, A. Stesmans, and M. M. Heyns, Variation in the fixed charge density of SiOx/ZrO2 gate dielectric stacks during postdeposition oxidation, Appl. Phys. Lett., 2000 (77): 1885-1887.
    [45] K. Eisenbeiser, J. M. Finder, Z. Yu, J. Ramdani, J. A. Curless, J. A. Hallmark, R. Droopad, W. J. Ooms, L. Salem, S. Bradshaw, and C. D. Overgaard, Field effect transistors with SrTiO3 gate dielectric on Si, Appl. Phys. Lett., 2000 (76): 1324-1326.
    [46] M. Copel, E. Cartier, V. Narayanan, M. C. Reuter, S. Guha, and N. Bojarczuk, Characterization of silicate/Si(001) interfaces, Appl. Phys. Lett., 2002 (81): 4227-4229.
    [47] M. Copel, E. Cartier, and F. M. Ross, Formation of a stratified lanthanum silicate dielectric by reaction with Si (001), Appl. Phys. Lett., 2001 (78): 1607-1609.
    [48] T. Gougousi, M. J. Kelly, D. B. Terry, and G. N. Parsons, Properties of La-silicate high-K dielectric films formed by oxidation of La on silicon, J. Appl.Phys., 2003 (93): 1691-1696.
    [49] J. H. Lee, and M. Ichikawa, Compositionally graded hafnium silicate studied by chemically selective scanning tunneling microscopy, J. Appl. Phys., 2002 (91): 5661-5665.
    [50] S. Sayan, E. Garfunkel, and S. Suzer, Soft x-ray photoemission studies of the HfO_2/SiO2/Si system, Appl. Phys. Lett., 2002 (80): 2135-2137.
    [51] H. Watanabe, N. Ikarashi, and F. Ito, La-silicate gate dielectrics fabricated by solid phase reaction between La metal and SiO2 underlayers, Appl. Phys. Lett., 2003 (83): 3546-3548.
    [52] E. P. Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, and M. A. Gribelyuk, High-resolution depth profiling in ultrathin Al_2O_3 films on Si, Appl. Phys. Lett., 2000 (76): 176-178.
    [53] R. Chen, H. Kim, and P. C. McIntyre, Self-assembled monolayer resist for atomic layer deposition of HfO_2 and ZrO2 high-k gate dielectrics, Appl. Phys. Lett., 2004 (87) 4017-4019.
    [54] R. C. Smith, N. Hoilien, C. J. Taylor, T. Z. Ma, S. A. Campbell, J. T. Roberts, M. Copel, D. A. Buchanan, M. Gribelyuk, and W. L. Gladfelter, Low Temperature Chemical Vapor Deposition of ZrO2 on Si(100) Using Anhydrous Zirconium (IV) Nitrate, J. Electrochem. Soc., 2000 (147): 3472-3476.
    [55] S. J. Wang, C. K. Ong, S. Y. Xu, P. Chen, W. C. Tjiu, J. W. Chai, A. C. H. Huan, W. J. Yoo, J. S. Lim, W. Feng, and W. K. Choi, Crystalline zirconia oxide on silicon as alternative gate dielectrics, Appl. Phys. Lett., 2001 (78): 1604-1606.
    [56] M. Ritala, K. Kukli, A. Rahtu, P. I. Raisanen, M. Leskela, T. Sajavaara, and J. Keinonen, Atomic layer deposition of oxide thin films with metal alkoxides as oxygen sources, Science, 2000 (288): 319-321.
    [57] S. C. Ha, E. Choi, S. H. Kim, and J. S. Roh, Influence of oxidant source on the property of atomic layer deposited Al_2O_3 on hydrogen-terminated Si substrate, Thin Solid Films, 2005 (476): 252-257.
    [58] D. A. Buchanan, 80nm poly-silicon gate n-FETs with ultra-thin Al_2O_3 gate dielectric for ULSI application, IEDM Technical Digest, (2000): 223-226.
    [59] S. Guha, E. Cartier, M. A. Gribelyuk, N. A. Bojarczuk, and M. C. Copel, Atomic beam deposition of lanthanum- and yttrium-based oxide thin films for gate dielectrics, Appl. Phys. Lett., 2000 (77): 2710-2712.
    [60] J. Kwo, M. Hong, A. R. Kortan, K. T. Queeney, Y. J. Chabal, J. P. Mannaerts, T. Boone, J. J. Krajewski, A. M. Sergent, and J. M. Rosamilia, High epsilon gate dielectrics Gd2O3 and Y2O3 for silicon, Appl. Phys. Lett., 2000 (77): 130-132.
    [61] D. Niu, R. W. Ashcraft, Z. Chen, S. Stemmer, and G. N. Parsons, Electron energy-loss spectroscopy analysis of interface structure of yttrium oxide gate dielectrics on silicon, Appl. Phys. Lett., 2002 (81): 676-678.
    [62] J. B. Cheng, A. D. Li, Q. Y. Shao, H. Q. Ling, D. Wu, Y. Wang, Y. J. Bao, M. Wang, Z. G. Liu, and N. B. Ming, Growth and characteristics of La2O3 gate dielectric prepared by low pressure metalorganic chemical vapor deposition, Appl. Surf. Sci., 2004 (233): 91-98.
    [63] S. W. Kang, and S. W. Rhee, Deposition of La2O3 films by direct liquid injection metallorganic chemical vapor deposition, J. Electrochem. Soc., 149 (2002): C345-C348.
    [64] A. Chin, Y. H. Wu, S. B. Chen, C. C. Liao, W. J. Chen, High quality La2O3 and Al_2O_3 gate dielectrics with equivalent oxide thickness 5-10 ?, 2000 Symposium on VLSI Technology, Digest of Technical Papers, (2000): 16-17.
    [65] S. Stemmer, J. -P. Maria, and A. I. Kingon, Structure and stability of La2O3/SiO2 layers on Si (001), Appl. Phys. Lett., 2001 (79): 102-104.
    [66] Y. H. Wu, M. Y. Yang, A. Chin, W. J. Chen, and C. M. Kwei, Electrical characteristics of high quality La2O3 gate dielectric with equivalent oxide thickness of 5 ?, IEEE Electron Device Letters, 21 (2000): 341-343.
    [67] H. J. Osten, J. P. Liu, P. Gaworzewski, E. Bugiel, and P. Zaumseil, High-k Gate Dielectrics with Ultra-low Leakage Current Based on Praseodymium Oxide, Tech. Dig. Int. Electron Devices Meet, (2000): 653-656.
    [68] H. J. Osten, J. P. Liu, and P. Zaumseil, Epitaxial, high-k dielectrics on silicon: the example of praseodymium oxide, Microelectron. Reliab., 2001 (41): 991-994.
    [69] R. Lo. Nigro, V. Raineri, C, Bongiorno, R. Toro, G. Malandrino, and I. L. Fragalà, Dielectric properties of Pr2O3 high-k films grown by metalorganic chemical vapor deposition on silicon, Appl. Phys. Lett., 2003 (83): 129-131.
    [70] R. Lo Nigro, R. G. Toro, G. Malandrino, V. Raineri, and I. L. Fragalà, A simple route to the synthesis of Pr2O3 high-k thin films, Adv. Mater., 2003 (15): 1071-1075.
    [71] T. Schroeder, T. -L. Lee, J. Zegenhagen, C. Wenger, P. Zaumseil, and H. -J. Müssig, Structure and thickness-dependent lattice parameters of ultrathin epitaxial Pr2O3 films on Si (001), Appl. Phys. Lett., 2004 (85): 1229-1231.
    [72] D. Schmei?er, H. J. Mussig, and J. Dabrowski, Silicate layer formation at Pr_2O_3/Si (001) interfaces, Appl. Phys. Lett., 2004 (85): 88-90.
    [73] H. J. Osten, J. P. Liu, and H. J. Mussig, Band gap and band discontinuities at crystalline Pr_2O_3/Si (001) heterojunctions, Appl. Phys. Lett., 2002 (80): 297-299.
    [74] M. Houssa, M. Naili, M. M. Heyns, and A. Stesmans, Model for the charge trapping in high permittivity gate dielectric stacks, J. Appl. Phys., 2001 (89): 792-794.
    [75] T. -M. Pan, C. -S. Liao, H. -H. Hsu, C. -L. Chen, J. -D. Lee, K. -T. Wang, and J. -C. Wang, Excellent frequency dispersion of thin gadolinium oxide high-k gate dielectrics, Appl. Phys. Lett., 2005 (87): 262908-1-262908-3.
    [76] R. A. Mckee, F. J. Walker, and M. F. Chisholm, Crystalline Oxides on Silicon: The First Five Monolayers, Phys. Rev. Lett., 1998 (81): 3014-3017.
    [77] S. A. Campbell, D. C. Gilmer, X. -C. Wang, M. -T. Hsieh, H. -S. Kim, W. L. Gladfelter, and J. Yan, MOSFET transistors fabricated with high permitivity TiO2 dielectrics, IEEE Trans Electron Devices, 1997 (44): 104-109.
    [78] Y. Ma, Y. Ono, and S. T. Hsu., Mater. Res. Soc. Symp. Proc., 1999 (567): 355.
    [79] H. Zhang, R. Solanki, B. Roberds, G. Bai, and I. Banerjee, High permittivity thin film nanolaminates, J. Appl. Phys., 2000 (87): 1921-1924.
    [80] B. H. Lee, L. G. Kang, R. Nieh, W. J. Qi, and J. C. Lee, Thermal stability and electrical characteristics of ultrathin hafnium oxide gate dielectric reoxidizedwith rapid thermal annealing, Appl. Phys. Lett., 2000 (76): 1926-1928.
    [81] K. Kukli, M.Ritala, J. Aarik, T. Uustare, and M. Leskela, Influence of growth temperature on properties of zirconium dioxide films grown by atomic layer deposition, J. Appl. Phys., 2002 (92): 1833-1840.
    [82] Z. J. Yan, R. Xu, Y. Y. Wang, S. Chen, Y. L. Fan, and Z. M. Jiang, Thin HfO_2 films grown on Si (100) by atomic oxygen assisted molecular beam epitaxy, Appl. Phys. Lett., 2004 (85): 85-87.
    [83] K. J. Choi, J. B. Park, and S. G. Yoon, Control of the interfacial layer thickness in hafnium oxide gate dielectric grown by PECVD, J. Electrochem. Soc., 2003 (150): F75-F77.
    [84] K. J. Choi, W. C. Shin, and S. G.Yoon, Ultrathin HfO_2 gate dielectric grown by plasma-enhanced chemical vapor deposition using Hf[OC(CH3)(3)](4) as a precursor in the absence of O2, J. Mater. Res., 2003 (18) 60-65.
    [85] D. M. Hausmann, E. Kim, J. Becker, and R. G. Gordon, Atomic layer deposition of hafnium and zirconium oxides using metal amide precursors, Chem. Mater., 2002 (14): 4350-4358.
    [86] T. Ngai, W. J. Qi, R. Sharma, J. Fretwell, X. Chen, J. C. Lee, and S. Banerjee, Electrical properties of ZrO_2 gate dielectric on SiGe, Appl. Phys. Lett., 2000 (76): 502-504.
    [87] M. Copel, M. Gribelyuk, and E. Gusev, Structure and stability of ultrathin zirconium oxide layers on Si (001), Appl. Phys. Lett., 2000 (76): 436-438.
    [88] H. Fukumoto, M. Morita, and Y. Osaka Electrical characteristics of metal- insulator-semiconductor diodes with ZrO_2/SiO2 dielectric films, J Appl Phys., 1989 (65): 5210-5212.
    [89] C. S. Lai, W. C. Wu, T. S. Chao, J. H. Chen, J. C. Wang, L. -L. Tay, and N. Rowell, Suppression of interfacial reaction for HfO_2 on silicon by pre-CF4 plasma treatment, Appl. Phys. Lett., 2006 (89): 072904.
    [90] K.-I. Seo, P. C. McIntyre, H. Kim, and K. C. Saraswat, Formation of an interfacial Zr-silicate layer between ZrO2 and Si through in situ vacuum annealing, Appl. Phys. Lett., 2005 (86) 082904.
    [91] I. Porqueras, J. Marti, and E. Bertran, Optical and electrical characterisation of Ta_2O_5 thin films for ionic conduction applications, Thin Solid Films, 1999 (343-344): 449-452.
    [92] K. Forsgren, and A. Harsta, Halide chemical vapour deposition of Ta2O5, Thin Solid Films, 1999 (343-344): 111-114.
    [93] F.-C. Chiu, J. -J. Wang, J. Y. Lee, and S. C. Wu, Leakage currents in amorphous Ta2O5 thin films, J Appl Phys., 1997 (81): 6911-6915.
    [94] J. Lin, N. Masaaki, A. Tsukune, and M. Yamada,Ta2O5 thin films with exceptionally high dielectric constant, Appl. Phys. Lett., 1999 (74): 2370-2372.
    [95] J. -L. Autran, R. Devine, C. Chaneliere, and B. Balland, Fabrication and characterization of Si-MOSFET's with PECVD amorphous Ta2O5 gate insulator, IEEE Electron Device Letters, 18 (1997) 447-449.
    [96] P. K. Roy, and I. C. Kizilalli, Stacked high- gate dielectric for gigascale integration of metal–oxide–semiconductor technologies, Appl Phys Lett., 1998 (72): 2835-2837.
    [97] G. D. Wilk, and R. M. Wallace, Stable zirconium silicate gate dielectrics deposited directly on silicon, Appl Phys Lett., 2000 (76):112-114.
    [98] H. Jin, S. K. Oh, and H. J. Kang, and M. -H. Cho, Band gap and band offsets for ultrathin (HfO_2)_x(SiO_2)_1–x dielectric films on Si (100), Appl. Phys. Lett., 2006 (89): 122901.
    [99] R. L. Opila, G. D. Wilk, M. A. Alam, R. B. van Dover, and B. W. Busch, Photoemission study of Zr- and Hf-silicates for use as high-k oxides: Role of second nearest neighbors and interface charge, 81 (2002): 1788-1790.
    [100] S. -J. Ding, C. X. Zhu, M, -F. Li, and D. W. Zhang, Atomic-layer-deposited Al_2O_3-HfO_2-Al_2O_3 dielectrics for metal-insulator-metal capacitor applications, Appl. Phys. Lett., 2005 (87): 053501.
    [101] X. Y. Qiu, H. W. Liu, F. Gao, and J. -M. Liu, Thermal stability and interfacial properties of ZrAlxSiyOz films prepared by pulse-laser deposition, J Appl Phys., 2006 (100): 074109.
    [102] M. A. Russack, C. V. Jahnes, and E. P. Katz, Reactive magnetron sputteredzirconium oxide and zirconium silicon oxide thin films, J. Vac. Sci. Technol. A, 1989 (7): 1248-1253.
    [103] S. Roberts, J. G. Ryan, and D. W. Martin, in Emerging Semiconductor Technology, ASTM STP 960, edited by D. C. Gupta and P. H Langer (ASTM, Philadelphia, 1986), p. 137.
    [104] G. D. Wilk, and R. M. Wallce, Electrical properties of hafnium silicate gate dielectrics deposited directly on silicon, Appl. Phys. Lett., 1999 (74): 2854-2856.
    [105] D. Landheer, X. Wu, J. Morais, I. J. R. Baumvol, R. P. Pezzi, W. N. Lennard, and J. K. Kim, Thermal stability and diffusion in gadolinium silicate gate dielectric films, Appl. Phys. Lett., 2001 (79): 2618-2620.
    [106] J. J. Chambers, and G. N. Parsons, Physical and electrical characterization of ultrathin yttrium silicate insulators on silicon, J. Appl. Phys. 2001 (90): 918-933.
    [107] M. -Y. Ho, H. Gong, G. D. Wilk, B. W. Busch, M. L. Green, W. H. Lin, A. See, S. K. Lahiri, M. E. Loomans, P. I. R?is?nen, T. Gustafsson, Suppressed crystallization of Hf-based gate dielectrics by controlled addition of Al2O3 using atomic layer deposition, Appl. Phys. Lett., 2002 (81): 4218-4220.
    [108] W. hu, T. P. Ma, T. Tamagawa, Y. Di, J. Kim, R. Carruthers, M. Gibson, and T. Furukawa, HfO_2 and HfAlO for CMOS: Thermal Stability and Current Transport, Tech. Dig. Int. Electron Devices Meet. (2001): 463-466.
    [109] H. Y. Yu, M. F. Li, B. J. Cho, C. C. Yeo, M. S. Joo, D. -L. Kwong, J. S. Pan, C. H. Ang, J. Z. Zheng, and S. Ramanathan, Energy gap and band alignment for (HfO_2)x (Al2O3)1-x on (100) Si, Appl. Phys. Lett., 2002 (81): 376-378.
    [110] P. F. Lee, J. Y. Dai, K. H.Wong, and C. L. Choy, Growth and characterization of Hf-aluminate high-k gate dielectric ultrathin films with equivalent oxide thickness less than 10 angstrom, J. Appl. Phys., 2003 (93): 3665-3667.
    [111] Y. Ma, Y. Ono, L. Stecker, D. R. Evans, and S. T. Hsu, Tech. Dig. Int. Electron Devices Meet. (2000): 23.
    [112] K. K. S. Curreem, P. F. Lee, K. S. Wong, J. Y. Dai, M. J. Zhou, J. Wang, and Q.Li, Comparison of interfacial and electrical characteristics of HfO_2 and HfAlO high-k dielectrics on compressively strained Si1?xGex, Appl. Phys. Lett., 2006 (88) 182905.
    [113] Y. Y. Yamamoto, K. Kita, K. Kyuno and A. Toriumi, Structural and electrical properties of HfLaOx films for an amorphous high-k gate insulator, Appl. Phys. Lett., 2006 (89) 032903.
    [114] P. D. Kirsch, M. A. Quevedo-Lopez, S. A. Krishnan, and B. H. Lee, G. Pant, M. J. Kim, R. M. Wallace, and B. E. Gnade, Mobility and charge trapping comparison for crystalline and amorphous HfON and HfSiON gate dielectrics, Appl. Phys. Lett., 2006 (89): 242909.
    [115] C. -C. Cheng, C. -H. Chien, J. -H. Lin, C. -Y. Chang, G. -L. Luo, C. -H. Yang, and S. -L. Hsu, Thermochemical reaction of ZrOx(Ny) interfaces on Ge and Si substrates, Appl. Phys. Lett., 2006 (89): 012905.
    [116] N. Umezawa, K. Shiraishi, T. Ohno, H. Watanabe, T. Chikyow, K. Tori, K.Yamabe, K. Yamada, H. Kitajima, and T. Arikado, First-principles studies of the intrinsic effect of nitrogen atoms on reduction in gate leakage current through Hf-based high-k dielectrics, Appl. Phys. Lett., 2005 (86): 143507.
    [117] S. Toyoda, J. Okabayashi, H. Takahashi, M. Oshima, D. Lee, S. Sun, P. A.Pianetta, T. Ando, and S. Fukuda, Nitrogen doping and thermal stability in HfSiOxNy studied by photoemission and x-ray absorption spectroscopy, Appl. Phys. Lett., 2005 (87): 182908.
    [118] J. L. Gavatin, A. L. Shluger, A. S. Foster, and G. I. Bersuker, The role of nitrogen-related defects in high-k dielectric oxides: Density-functional studies, J. Appl. Phys., 2005 (97) 053704.
    [119] P. Chen, H. B. Bhandari, and T. M. Klein, Effect of nitrogen containing plasmas on interface stability of hafnium oxide ultrathin films on Si(100), Appl. Phys. Lett., 2004 (85): 1574-1576.
    [120] S. H. Mohamed, O. Kappertz, T. Niemeier, R. Drese, M. M. Wakkad, and M. Wuttig, Effect of heat treatment on structural, optical and mechanical properties of sputtered TiOxNy films, Thin Solid Films, , 2004 (468): 48-56.
    [121] K. -J. Choi, J. -H. Kim, S. -G. Yoon, and W. -C. Shin, Structural and electrical properties of HfO_xN_y and HfO_2 gate dielectrics in TaN gated nMOSCAP and nMOSFET devices, J. Vac. Sci. Technol., B, 2004 (22): 1755-1758.
    [122] K. B. Chung, C. N. Whang, M. -H. Cho, C. J. Yim, and D. -H. Ko, Suppression of phase separation in Hf-silicate films using NH3 annealing treatment, Appl. Phys Lett., 2006 (88) 081903.
    [123] G. Pant, A. Gnade, M. J. Kim, R. M. Wallace, B. E. Gnade, M. A. Quevedo-Lopez, P. D. Kirsch, and S. Krishnan, Comparison of electrical and chemical characteristics of ultrathin HfON versus HfSiON dielectrics, Appl. Phys Lett., 2006 (89): 032904.
    [124] 孟广耀, 化学气相沉积与无机新材料 (科学出版社, 北京, 1984).
    [125] A. Sherman, Chemical Vapor Deposition for Microelectronics: Principles, Technology, and Applictions (Noyes, Park Ridge, 1987).
    [126] S. A. Campbell, The science and engineering of microelectronic fabrication (Oxford University Press, New York, 2001).
    [127] H. Kim, and P. C. McIntyre, Spinodal decomposition in amorphous metal-silicate thin films: Phase diagram analysis and interface effects on kinetics, J. Appl. Phys., 2002 (92): 5094-5102.
    [128] V. G. Erkov, S. F. Devyatova, E. L. Molodstova, T. V. Malsteva, and U. A. Yanovskii, Si-TiO_2 interface evolution at prolonged annealing in low vacuum or N2O ambient, Appl. Surf. Sci., 2000 (166): 51-56.
    [129] Y. -H. Chou, H. -T. Chiu, T. -F. Kuo, C. -C. Chi, and S. -H. Chuang, Intriguing conducting properties of HfOxNy thin films prepared from the Hf[N(C2H5)2]4, Appl. Phys Lett., 2006 (89): 252901.
    [130] H. Jin, S. K. Oh, H. J. Kang, and M.-H. Cho, Band gap and band offsets for ultrathin (HfO_2)_x(SiO_2)_(1-x) dielectric films on Si (100), Appl. Phys Lett., 2006 (89): 122901.
    [131] G. Vellianitis, Z. M. Rittersma, and J. Pétry, Charge trapping in nitrided HfSiO gate dielectric layers, Appl. Phys Lett., 2006 (89): 092902.
    [132] I. Porqueras, J. Marti, and E. Bertran, Optical and electrical characterisation ofTa2O5 thin films for ionic conduction applications, Thin Solid Films, 1999 (343-344): 449-452.
    [133] L. Wang, K. Xue, J. B. Xu, A. P. Huang, and P. K. Chu, Control of interfacial silicate between HfO_2 and Si by high concentration ozone, Appl. Phys Lett., 2006 (88): 072903.
    [134] V. Mikhelashvili, G. Eisenstein, and A. Lahav, High capacitance density metal-insulator-metal structure based on Al_2O_3–HfTiO nanolaminate stacks, Appl. Phys Lett., 2007 (90): 013506.
    [135] M. Balden, B. T. Cieciwa, I. Quintana, E. J. Pardo, and B. Dubiel, Metal-doped carbon films obtained by magnetron sputtering, Surf. Coat. Tech., 2005 (200): 413-417.
    [136] R. Jiang, E. Q. Xie, and Z. F. Wang, Interfacial chemical structure of HfO_2 /Si film fabricated by sputtering, Appl. Phys Lett., 2006 (89): 142907.
    [137] H. T. Fan, X. M. Teng, S. S. Pan, C. Ye, G. H. Li, and L. D. Zhang, Optical properties of δ-Bi2O3 thin films grown by reactive sputtering, Appl. Phys Lett., 2005 (87) : 231916.
    [138] 李全臣, 蒋月娟, 光谱仪器原理, 北京理工大学出版社, 1999: 1-215.
    [139] S. Maniv, and A. Zangvil, Controlled texture of reactively rf-sputtered ZnO thin films, J. Appl. Phys., 1978 (49): 2787-2792.
    [140] M. H. Zhang, S. J. Rhee, C. Y. Kang, C. H. Choi, M. S. Akbar, S. A. Krishnan, T. Lee, I. J. Ok, F. Zhu, H. S. Kim, and Jack C. Lee, Improved electrical and material characteristics of HfTaO gate dielectrics with high crystallization temperature Appl. Phys Lett., 2005 (87) 232901.
    [141] M. H. Zhang, I. J. Ok, H. S. Kim, F. Zhu, T. Lee, G. Thareja, L. Yu, and Jack C. Lee, Characteristics of sputtered Hf1?xSixO2 /Si/GaAs gate stacks, Appl. Phys Lett., 2006 (89) 042902.
    [142] T. C. Paulick, Inversion of normal-incidence (R.T) measurement to obtain n+ik for thin films, Appl. Opt., 1986 (25): 562-564.
    [143] M. Chiu, J. Lee, and D. Su, Complex refractive index measurement based on Freshel’s equations and the use of heterodyne interferometry, Appl. Opt. 1999(38): 4047-4052.
    [144] D. E. Adpens, and A. A. Studna, High precision Scanning Ellipsometer, Appl. Opt., 1975 (14): 220-228.
    [145] 莫党,固体光学,北京: 高等教育出版社,1996.
    [146] L. Chen, X. Feng, Y. Su, H. Ma, and Y. Qian, Improved rotating analyser-polarizer type of scanning ellipsometer, Thin Solid Films, 1993 (234): 385-389.
    [147] E. Passaglia, R. R. Stromerg, and J. Kruger, Eds. Ellipsometry in the Measurement of Surfaces and Thin Films, Washington, Natl. Bur. Std. Misc. Pub1.1964.
    [148] Z. Q. Huang, S. T. Zhang, C. G. Chen, S. F. Xie, Y R.Yang, and W. Zhu, A study of quantitative electrochemical analysis through a spectroellipsometric technique with a new function, Thin Solid Films 313 (1998) 781-784.
    [149] 方容川, 固体光谱学, 合肥: 中国科学技术大学出版社, 2001.
    [150] 包学诚, 椭偏仪的结构原理与发展, 现代科学仪器, 1999 (3): 58-61.
    [151] 李芳, 光电材料的椭偏光谱和电光性质的研究, Ph.D.Thesis., 2004, 广州: 中山大学.
    [152] J. G. E. Jellison, and F. A. Modine, Parameterization of the optical functions of amorphous materials in the interband region, Appl. Phys. Lett., 69(1996): 371-373.
    [153] C. H. Choi, T. S. Jeon, R. Clark, and D. L. Kwong, Electrical properties and thermal stability of CVD HfOxNy gate dielectric with poly-Si gate electrode, IEEE Electron Device Lett., 2003 (24): 215-217.
    [154] G. He, Q. Fang, M. Liu, L. Q. Zhu, and L. D. Zhang, The structural and interfacial properties of HfO_2/Si by the plasma oxidation of sputtered metallic Hf thin films, J. Cryst. Growth., 2004 (268): 155-162.
    [155] C. S. Kang, H. J. Cho, K. Onishi, R. Nieh, R. Choi, S. Gopanlan, S. Krishnan, J. H. Han, and J. C. Lee, Bonding states and electrical properties of ultrathin HfOxNy gate dielectrics, Appl. Phys. Lett., 2002 (81): 2593-2595.
    [156] G. He, M. Liu, L. Q. Zhu, M. Chang, Q. Fang, and L. D. Zhang, Effect ofpostdeposition annealing on the thermal stability and structural characteristics of sputtered HfO_2 films on Si (100), Surf. Sci., 2005 (576): 67-75.
    [157] J. L. Gavartin, A. L. Shluger, A. S. Foster and G. I. Bersuker, The role of nitrogen-related defects in high-k dielectric oxides: Density-functional studies, J. Appl. Phys., 2005 (97): 053704.
    [158] A. F. Morral, P. R. Cabarrocas, and C. Clerc, Structure and hydrogen content of polymorphous silicon thin films studied by spectroscopic ellipsometry and nuclear measurements, Phys. Rev. B, 69 (2004): 125307-125310.
    [159] P. Patsalas, S. Logothetidis, L. Sygellou, and S. Kennou, Structure-dependent electronic properties of nanocrystalline cerium oxide films, Phys. Rev. B, 68 (2003): 035104-035113.
    [160] Y. J. Cho, N. V. Nguyen, C. A. Richter, J. R. Ehrstein, B. H. Lee, and J. C. Lee, Spectroscopic ellipsometry characterization of high-k dielectric HfO_2 thin films and the high-temperature annealing effects on their optical properties, Appl. Phys. Lett., 2002 (80): 1249-1251.
    [161] N. V. Nguyen, C. A. Richter, Y. J. Cho, G. B. Alers, and L. A. Stirling, Effects of high-temperature annealing on the dielectric function of Ta2O5 films observed by spectroscopic ellipsometry, Appl. Phys. Lett., 2000 (77): 3012-3014.
    [162] S. Venkataraj, O. kappertz, R. Jayavel, and M. Wutting, Growth and characterization of zirconium oxynitride films prepared by reactive direct current magnetron sputtering, J. Appl. Lett., 2002 (92): 2461-2466.
    [163] S. H. Mohamed, O. Kappertz, T. Niemeier, R. Drese, M. M. Wakkad, and M. Wuttig, Effect of heat treatment on structural, optical and mechanical properties of sputtered TiOxNy films, Thin Solid Films, 2004 (468): 48-56.
    [164] G. He, L. D. Zhang, G. H. Li, M. Liu, L. Q. Zhu, S. S. Pan, and Q. Fang, Spectroscopic ellipsometry characterization of nitrogen-incorporated HfO_2 gate dielectrics grown by radio-frequency reactive sputtering, Appl. Phys. Lett., 2005 (86): 232901.
    [165] H. Hu, C. X. Zhu, Y. F. Lu, Y. H. Yu, T. Liew, M. F. Li, B. J. Cho, W. K. Chio,and N. Yakovlev, Physical and electrical characterization of HfO_2 metal-insulator-metal capacitors for Si analog circuit applications, J. Appl. Lett., 2003 (94): 551-557.
    [166] R. Asahi, T. Morikawa, T. Ohwaki, K. Aoki, and Y. Taga, Visible-Light Photocatalysis in Nitrogen-Doped Titanium Oxides, Science, 2001 (293): 269-271.
    [167] Q. Fang, J. -Y. Zhang, Z. Wang, M. Modreanu, B. J. O’Sullivan, P. K. Hurley, T. L. Leedham, D. Hywel, M. A. Audier, C. Jimenez, J. -P. Senateur, and Ian W Boyd, Interface of ultrathin HfO_2 films deposited by UV-photo-CVD, Thin Solid Film, 2004 (453-454): 203-207.
    [168] D. H. Triyoso, R. I. Hegde, J. K. Schaeffer, D. Roan, P. J. Tobin, S. B. Samavedam, and B. E. White, Jr., R. Gregory, and X. -D. Wang, Impact of Zr addition on properties of atomic layer deposited HfO_2, Appl. Phys. Lett., 2006 (88): 222901.
    [169] C. M. Lopez, N. A. Suvorova, E. A. Irene, A. A. Suvorova and M. Saunders, ZrO_2 film interfaces with Si and SiO2, J. Appl. Phys., 2005 (98): 033506.
    [170] A. F. Morral, P. R.i Cabarrocas, and C. Clerc, Structure and hydrogen content of polymorphous silicon thin films studied by spectroscopic ellipsometry and nuclear measurements, Phys. Rev. B, 2004 (69): 125307-125310.
    [171] S. Sayan, N. V. Nguyen, J. Ehrstein, J. J. Chambers, M. R. Visokay, M. A. Quevedo-Lopez, L. Colombo, D. Yoder, I. Levin, D. A. Fischer, M. Paunescu, O. Celik, and E. Garfunkel, Effect of nitrogen on band alignment in HfSiON gate dielectrics, Appl. Phys. Lett., 2005 (87): 212905.
    [172] S. J. Wang, J. W. Chai, Y. F. Dong, Y. P. Feng, N. Sutanto, J. S. Pan, and A. C. H. Huan, Effect of nitrogen incorporation on the electronic structure and thermal stability of HfO_2 gate dielectric, Appl. Phys. Lett., 2006 (88): 192103.
    [173] K. J. Choi, J. H. Kim, and S. G. Yoon, Plasma Nitration of HfO_2 Gate Dielectric in Nitrogen Ambient for Improvement of TaN/HfO_2/Si Performance, Electrochem. Solid-State Lett., 2004 (7): F59-F61.
    [174] K. J. Choi, J. H. Kim, and S. G. Yoon, Characterization of HfO_2 and HfOxNyGate Dielectrics Grown by PE Metallorganic CVD with a TaN Gate Electrode, J. Electrochem. Soc., 2004 (151): G262-G265.
    [175] G. Shang, P. W. Peacock, and J. Robertson, Stability and band offsets of nitrogenated high-dielectric-constant gate oxides, Appl. Phys. Lett., 2004 (84): 106-108.
    [176] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T. Gustafsson, and R. S. Urdahl, Intermixing at the tantalum oxide/silicon interface in gate dielectric structures, Appl. Phys. Lett., 1998 (73): 1517-1519.
    [177] H. Y. Yu, N. Wu, M. F. Li, C. X. Zhu, and B, J, Cho, D. -L. Kwong, C. H. Tung, J. S. Pan, J. S. Pan, J. W. Chai, W. D. Wang, D. Z. Chi, C. H. Ang, J. Z. Zheng, and S. Ramanathan, Thermal stability of (HfO_2)x(Al_2O_3)1-x on Si, Appl. Phys. Lett., 2002 (81): 3618-3620.
    [178] M. -H. Cho, Y. S. Roh, C. N. Whang, K. Jeong, H. J. Choi, S. W. Nam, D. -H. Ko, J. H. Lee, N. I. Lee, and F. Fujihara, Dielectric characteristics of Al_2O_3-HfO_2 nanolaminates on Si(100), Appl. Phys. Lett., 2002 (81): 1071-1073.
    [179] B. -Y. Tsui, and H. -W. Chang, Formation of interfacial layer during reactive sputtering of hafnium oxide, J. Appl. Phys., 2003 (93): 10119-10124.
    [180] G. B. Alers, D. J. Werder, Y. Chabal, H. C. Lu, E. P. Gusev, E. Garfunkel, T. Gustafsson, and R. S. Urdahl, Intermixing at the tantalum oxide/silicon interface in gate dielectric structures, Appl. Phys. Lett., 1998 (73): 1517-1519.
    [181] E. P. Gusev, M. Copel, E. Cartier, I. J. R. Baumvol, C. Krug, and M. A. Gribelyuk, High-resolution depth profiling in ultrathin Al_2O_3 films on Si, Appl. Phys. Lett., 2000 (76): 176-178.
    [182] M. Copel, E. Cartier, E. P. Gusev, S. Guha, N. Bojarczuk, and M. Poppeller, Robustness of ultrathin aluminum oxide dielectrics on Si(001), Appl. Phys. Lett., 78 (2001): 2670-2672.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700