单芯片系统(SoC)中的实时任务调度算法研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着大规模集成电路设计技术和半导体技术的迅速发展,尤其是器件关键尺寸到达纳米级的深亚微米技术的出现使得单一芯片上可以集成上亿个晶体管。微控制器芯片,作为各种嵌入式实时控制系统(如飞行控制系统、对空防御系统等)的关键器件,已经全面采用SoC(System-On-a-Chip)技术,以控制成本,降低功耗,提高产品设计的可重用性,减少市场反应时间。与此同时,为了解决系统高性能指标和低成本之间、逐渐攀升的系统复杂性与日渐缩短的更新换代周期之间、设计技术落后与半导体集成电路芯片技术飞速发展之间等等诸多矛盾,越来越多的微控制器开始使用软/硬件协同设计方法来设计制造。使用软硬件协同设计的方法,系统级芯片内必然包括各种硬件设备和与之协同工作的软件。面向SoC的嵌入式实时操作系统(SoCRTOS)不仅能有效改善系统级芯片的性能和可靠性,而且能降低产品开发难度,提高产品设计的可重用性,正逐渐成为单芯片系统(SoC)中非常重要的基础部件之一
     与经典实时控制系统相比,SoC实时控制系统的硬件资源相对有限,同时需要遵循事件驱动的反应式运行模式,而且目标应用平台也复杂多样。这些基本特征使得SoC实时控制系统中的实时任务调度策略,作为SoC实时操作系统中最重要的部分,其任务切换开销要尽量低,其系统功耗要尽量少,其内存需求要尽量小。但是,SoC实时控制系统中资源严重受限的现状,与当前RTOS中普遍使用抢占式调度策略所带来的任务切换频繁、资源消耗过大等特征,形成了应用环境需求与技术实现途径之间的结构性矛盾。在SoC实时控制系统这种典型的嵌入式实时计算环境下,如何在不降低任务可调度性的前提下尽可能减少不必要的任务切换、降低系统资源需求,逐渐成为具有重要理论意义和应用价值的新的研究热点。
     本文旨在研究基于软/硬件协同设计方法构建的、嵌入式SoC实时控制系统中的任务实时调度问题。在分析当前已有研究工作和解决方案的基础上,提出多个节能(energy-efficient)、内存节省(memory-efficient)任务调度算法,理论上分析和证明了算法的可行性和正确性的同时,通过实例研究和仿真实验说明了算法的实用性和有效性。其主要工作和研究成果如下:
     1.基于SoC实时控制系统的事件驱动特征,提出一种异步实时任务集合的抢占阈值调度算法FPPT-AST。该算法首先推导出抢占阀值调度模型中异步任务最长响应时间的计算方法,而后在此基础上给出任务抢占阀值的分配算法。仿真实验表明,与普通抢占阈值调度算法相比较,FPPT-AST调度算法能够进一步提高任务集合的可调度性。
     2.基于SoC实时控制系统的资源受限特征,提出一种在抢占阈值调度模型任务松弛因子的离线计算方法ES-FPPT。该方法首先给出带有松弛因子的任务集合可调度性得到满足的充分条件,而后将各个任务的松弛因子的计算问题转换为线性最优化问题。仿真试验表明,与现有节能技术相比,ES-FPPT易于实现并且平均可降低17%-35%的能耗。
     3.基于SoC实时控制系统的资源受限特征,提出一种任务执行时间可变时的电压/频率调度算法SVS-FPPT。该算法基于使用最优化方法得到抢占阈值调度模型下每个任务最长可能执行时间,而后根据任务执行时间的分布来计算任务内的电压/频率调度,以达到系统平均能耗最小化的目的。仿真试验表明,任务执行时间变化愈剧烈,算法的节能效果愈高。
     4.针对在嵌入式实时系统中运用DVS技术所带来的副作用之一,即DVS技术的应用使得任务切换次数迅速增加的问题,提出一种节能的抢占阈值调度算法EE-FPPT。该算法借鉴抢占阈值调度模型,提出一种基于响应时间分析、面向低功耗系统、针对静态优先级任务的可调度性判定方法,而后证明应用DVS技术的静态优先级系统,在使用抢占阈值调度策略时,在获得最大阈值分配的情况下,系统功耗最低,最后给出低功耗系统获取最低能耗的算法,该算法同时使得系统的任务切换次数最低。
     5.针对在嵌入式实时系统中运用DVS技术所带来的副作用之一,即DVS技术的应用使得任务执行时间延长进而使得处理器的静态功耗(由CMOS电路的泄露电流引起)迅速增加的问题,提出一种两阶段节能调度算法LAEES-FPPT。该算法将节能调度和延迟调度结合起来,先使用离线算法来计算每个任务的最优处理器执行速度,而后使用在线模拟调度算法来计算每个的任务的延迟时间,从而动态判定处理器开启/关闭时刻。实例研究和仿真实验表明,我们的方法能够进一步降低抢占阈值任务调度算法的功耗。
     6.为了高效使用片上系统中的有限内存,提出一种内存受限平台上的高效抢占阈值调度算法FPTS。该算法将SRP协议和PTS调度算法结合起来,将任务的抢占阈值分配和各个共享资源的冲顶值分配统一起来,在防止高优先级任务的过多抢占的同时,保持共享资源的串行访问,从而实现运行栈空间的最小化使用。仿真实验表明,与普通抢占阈值调度算法相比较,FPTS算法能够有效降低系统内存使用。
With the quick development of large scale integrated circuit design technology and micro-electronics manufacturing technology, especially the present of deep sub micron semiconductor technology, hundreds of millions transistors can be integrated into a single chip now, which makes integrating CPU,memory and I/O devices into a single chip possible.Microcontroller, as the cen-tral part of various embedded real-time control systems (such as flight control system,antiaircraft defense system), has been designed and manufactured by SoC(System-On-a-Chip) technology completely, with the aims of reduced cost, energy saving, improved reusability and short time-to-market. Meanwhile, in the face of the dilemma of high performance and low cost, increased system complexity and decreased update cycling of products, behindhand design technologies and fast development of semiconductor technologies, SoC co-design method had been exploited to production-manufacturing of more and more microcontrollers. With the methodology of hard-ware/software co-design, there must be the software parts as well as the hardware parts on SoC. The RTOS can not only improve the performance and reliability of the system,but also simplify the development and debugging of SoC.There is a growing consensus that the real-time operating system(RTOS) has become an indispensable part of SoC.
     Differing from the classical real-time control systems, the physical resources of SoC real-time control system are very limited, its execution mode conforms to the event-driven reactive model,its targeting platforms are heterogeneous. These features make the real-time task schedul-ing policy, as the most essential part of RTOS of real-time control systems, face some new chal-lenges:its context switch cost of task preemption should be small as much as possible, its energy consumption of task set should be low as much as possible, its memory usage of task set should be saved as much as possible. However, current RTOSs usually exploit preemptive scheduling policies, which leads to the more task preemptions and higher resources requirements. Thus, in the embedded real-time computing environment, how to reduce the number of task switch and decrease the resource consumption under the condition of task's schedulability, would be a new interested problem.
     In this thesis, the research aim is the problem of real-time task scheduling in the miniature embedded real-time control systems, manufactured by SoC technologies. Given the remaining drawbacks and shortcomings of current research works on this problem,we propose a series of energy-efficient scheduling algorithms and memory-efficient scheduling algorithms, provide theo-retical proofs to the correctness and feasibility, at the same time a series of simulation experiments was evaluated for the performance and effect of our algorithms. Our research works are embodied into three following aspects:
     1.We propose a new FPPT scheduling paradigm on asynchronous task set, where a new schedu-lability test approach is firstly developed to calculate the worst case response time of every task under some preemption threshold assignment and determine its schedulability, Further-more, this paper presents algorithms to compute the feasible preemption threshold Assign-ment, and the proofs for the correctness of these algorithms are also presented.
     2.We address the problem of computing static and dynamic slowdown factors in the FPPT algorithm.Firstly, Sufficient constraints have been identified for the feasibility of the task set using slowdown factors. We formulate this problem of computing the static slowdown factors for tasks as an nonlinear optimization problem to minimize the total energy consumption of the system.Our simulation experiments show on an average 17%-53% energy gains over FPPT scheduling policy.
     3.We address the problem of variable execution time in tasks under the FPPT scheduling pol-icy, our approach exploit the stochastic data to develop the intra-task voltage scheduling, experiments show that more fluctuated task workload, more energy gains over usual DVS techniques.
     4.we address one of such side effects, an increase in task preemptions due to DVS.We present energy-efficient Fixed priority with preemption threshold(EE-FPPT) scheduling algorithm to solve this problem.First, we propose an appropriate schedulability analysis, based on response time analysis, for supporting energy-efficient FPPT scheduling in hard real-time systems. Second, we prove that a task set achieves the minimal energy consumptions under Maximal Preemption Threshold Assignment(MPTA).
     5.We propose a two-phase algorithm.In the first phase, the execution speed, i.e.,the supply voltage of each task are determined by applying off-line algorithms, and in the second phase, the procrastination length of each task is derived by applying on-line simulated work-demand time analysis, and thus the time moment to turn on/off the system is determined on the fly. A series of simulation experiments was evaluated for the performance of our algorithms. The results show that our proposed algorithms can derive energy-efficient schedules.
     6. We propose a new FPTS scheduling paradigm,which integrates FPPT with SRP, includ-ing the new Critical Instant, preemption threshold assignment and appropriate schedulability analysis, based on response time analysis. Furthermore, we present algorithm to compute the feasible preemption threshold assignment, and the proofs for the correctness of these algo-rithms are also presented.
引文
[1]Jane W. S.W. Liu. Real-Time Systems. Upper Saddle River, NJ, USA:Prentice Hall PTR,2000
    [2]J. A.Stankovic, K. Ramamritham, M.Spuri, G.C.Buttazzo. Fundamentals of EDF Scheduling. In: Proceedings of Deadline Scheduling for Real-Time Systems, Boston:Kluwer Academic Publishing, 1998.27-65
    [3]Michael David Cave.Scalable voltage reference for ultra deep submicron technologies. Phd. disser-tation, THE UNIVERSITY OF TEXAS AT AUSTIN,2005
    [4]Yu Ta-Lee, Cheng Huijuan, Liu Jing, Chen Xianmin, Wang Jingjing, Ma Ying,P. OuYang, A.Guo, J. Ji, T. Qin, Fan Li-Hsien.A novel ESD protection circuit for ultra-deep-submicron low power mixed-signal IC designs. In:Proceedings of 7th International Conference on ASIC (ASICON'07). Semicondunctor Manufacture Intel Corporation, Shanghai,2007,387-390
    [5]王学毅,徐岚,唐绍根.100nm超浅结制作工艺研究.微电子学.2007,37(2):177-179,184
    [6]Zhang Li-Sheng, Han Cheng-De. Methodologise and Standardization of SoC Design.Journal of Computer Research and Development.2002,39(1):1-8
    [7]Chen Lan, Tang Zhi-Min.SoC Design Technology. Journal of Computer Research and Develop-ment.2002,39(1):9-16
    [8]Huang Zhengfeng, Liang Huaguo. A New Radiation Hardened by Design Latch for Ultra-Deep-Sub-Micron Technologies. In:Proceedings of 14th IEEE International On-Line Testing Symposium (IOLTS'08).School of Computer & Information, Hefei University of Technology, Hefei,2008, 175-176
    [9]Alain J. Martin, Mika Nystrom,Karl Papadantonakis, Paul I.Penzes Piyush, Prakash Catherine, G. Wong, Jonathan Chang, Kevin S. Ko, Benjamin Lee, Elaine Ou, James Pugh, Eino-Ville Talvala, James T. Tong, Ahmet Tura. The Lutonium:A Sub-Nanojoule Asynchronous 8051 Microcontroller. In:Proceedings of 9th International Symposium on Advanced Research in Asynchronous Circuits and Systems (ASYNC 2003).Vancouver, BC, Canada:IEEE Computer Society,2003,14-23
    [10]Chang Po-hsiang. Enhanced 8-bit microcontroller and its SoC integration. Master's thesis, National Sun Yat-Sen University,2005
    [11]Eftichios Koutroulis, Kostas Kalaitzakis, Nicholas C. Voulgaris. Development of a microcontroller-based, photovoltaic maximum powerpoint tracking control system. IEEE Transactions on Power Electronics.2001,16(1):46-54
    [12]SHU Guo-Qiang, WANG Qing, LI Ming-Shu.A Novel solution of Embedded Host Controller in Real-Time SAR Imaging Systems. Journal of Computer Research and Development.2003, 40(1):33-38
    [13]ZHANG Wei, LIU Peng, ZHAI Zhi-bo.A hardware/software co-optimization approach for embed-ded software of MP3 decoder. JOURNAL OF ZHEJIANG UNIVERSITY (SCIENCE A).2007, 8(1):42-49
    [14]Xiaofeng Wu,Tanya Vladimirova. A Self-reconfigurable System-on-Chip Architecture for Satellite On-Board Computer Maintenance. In:Proceedings of Advances in Computer Systems Architecture. Springer Berlin/Heidelberg,2006, vol.LNCS 4186 of Lecture Notes in Computer Science,552-558
    [15]LI Hong-jan, LI Xun, MA Hong-xu.Design of the UAV'S Information Processing Systems Based on ARM.Computer Engineering.2006,32(14):230-232
    [16]Wayne H.Wolf. Hardware-software co-design of embedded systems.Proceedings of the IEEE. 1994,82(7):967-989
    [17]M.Chiodo, P. Giusto, A.Jurecska H.C.Hsieh,A.Sangiovanni-Vincentelli,L.Lavagno-Magnetic Marelli.Hardware-software codesign of embedded systems. IEEE Micro.1994,14(4):26-36
    [18]Edson dos Santos Moreira, Walter Soto Encinas Junior. Hardware-Software Partition with Micro-controllers and CPLDs:A Case Study. In:Proceedings of International Conference on Parallel and Distributed Processing Techniques and Applications (PDPTA 1999). Las Vegas, Nevada, USA: CSREA Press, June 28-Junlly 1,1999,3002-3008
    [19]J.Levman, G.Khan, J.Alirezaie. Hardware-software co-synthesis of partially re-configurable embedded systems optimized for reduced power consumption.In:Proceedings of IEEE Canadian Conference on Electrical and Computer Engineering.2003,1835-1840
    [20]YANG Yu-hong, ZHANG Wen-jun, XIONG Lian-xue, RAO Zhen-ning. Hardware-Software Co-implementation of H.264 Decoder in SoC. JOURNAL OF SHANGHAI JIAOTONG UNIVER-SITY(SCIENCE).2006,11(3):335-339
    [21]Yeh Ta-li.Design of the Software/Hardware Codesign Platform-IRES. Master's thesis. National Sun Yat-Sen University,2007
    [22]GUO Bing, SHEN Yan.Hardware-Software Partitioning of Embedded Operating System in the SoC Using a Discrete Hopfield Neural Network Approach.CHINESE JOURNAL of ELECTRONICS. 2006,16(1):147-155
    [23]贾惠柱,解晓东,高文.基于软硬件分区的AVS高清视频解码器结构.计算机研究与发展.2008,45(3):510-518
    [24]董渊,王生原,陈嘉,田金兰,张素琴.嵌入式CPU软硬件协同开发中的操作系统设计.清华大学学报(自然科学版)2005,(7):134-139
    [25]Park Seong-Il,Yi Yongseok, Park In-Cheol.High performance memory mode control for HDTV decoders. IEEE Transactions on Consumer Electronics.2003,49(4):1348-1353
    [26]Vincent J.Mooney Ⅲ,Douglas M.Blough. A Hardware-Software Real-Time Operating System Framework for SoCs. IEEE Design & Test.2002,19(6):44-51
    [27]Paolo Gai.Real Time Operating System design for Multiprocessor System-On-a-Chip.Phd.disser-tation, Scuola Superiore S.Anna,2004/2005
    [28]Yanbing Li, Miodrag Potkonjak, Wayne Wolf. Real-Time Operating Systems for Embedded Com-puting. In:Proceedings of 1997 International Conference on Computer Design:VLSI in Computers and Processors(ICCD'97). Austin, Texas, USA:IEEE Computer Society Press,1997,388-392
    [29]Ahmed Amine Jerraya, Sungjoo Yoo, Norbert Wehn.Embedded Software for SoC.Springer,2003
    [30]Wang Ning, Chen Jie, Wang Jicheng.Neuron intelligent control for hydraulic turbine generators. In:Proceedings of IEEE International Conference on Industrial Technology. Research Institution of Industrial Process Control,Zhejiang University, Hangzhou,1994,288-292
    [31]葛运旺,姚惠林,沈文杰.基于无线通讯网络的井群控制系统设计.工业仪表与自动化装置.2003,3:45-47
    [32]下永骥,陈捷.水轮机组调速系统的自适应神经元控制.自动化学报.1994,20(1):117-120
    [33]吴仲治,李开生.灵顶擦窗机器人速度跟踪控制系统.制造业白动化.2001,23(4):26-30
    [34]王耀南,刘政权.实时专家智能控制系统REICS设计与应用.计算技术与自动化.1995,14(2):1-9
    [35]K.Tindell.Real-Time architect:A development suite to provide provable OSEK/VDX OS applica-tions for embedded Real-Time automotive applications using SSX5.In:Proceedings of INCONNU Realogy, (Editor):OSEK/VDX open systems in automotive networks. Tagung, Bad Homburg, ALLEMAGNE,2000,vol.1528.173-177
    [36]Express Logic Corporation.ThreadX User Guide. Tech.rep.,http://www.expresslogic.com,2003
    [37]V. Nollet, P. Coene.D. Verkest S.Vernalde-R. Lauwereins. Designing an Operating System for a Heterogeneous Reconfigurable SoC.In:Proceedings of International Parallel and Distributed Processing Symposium (IPDPS'03).IMEC, Leuven, Belgium,2003
    [38]Christoph Steiger, Herbert Walder, Marco Platzner. Operating Systems for Reconfigurable Embed-ded Platforms:Online Scheduling of Real-Time Tasks. IEEE Transactions on Computers.2004, 53(11):1393-1407
    [39]ENAC University. The Paparazzi Project,2007
    [40]Kathleen Baynes, Chris Collins, Eric Fiterman, Brinda Ganesh-Paul, Kohout Christine, Smit Tiebing, Zhang Bruce Jacob.The performance and energy consumption of three embedded real-time operating systems. In:Proceedings of International Conference on Compilers, Architecture and Synthesis for Embedded Systems. Power-and Energy-Aware Computing, Atlanta, Georgia, USA: ACM New York, NY, USA,2001,203-210
    [41]D. J.Barnhart, T. Vladimirova, M.N.Sweeting. System-on-a-Chip Design of Self-Powered Wireless Sensor Nodes for Hostile Environments. In:Proceedings of 2007 IEEE Aerospace Conference,. University of Surrey, Guildford, Big Sky, MT,2007
    [42]E.D. Marsman,R. M.Senger, M. S. McCorquodale.A 16-bit low-power microcontroller with monolithic MEMS-LC clocking. In:Proceedings of IEEE International Symposium on Circuits and Systems (ISCAS 2005).Michigan University, Ann Arbor, MI,USA,2005,vol.1,624-627
    [43]Peter Druschel.Efficient support for incremental customization of OS services. In:Proceedings of Third International Workshop on Object Orientation in Operating Systems.1993,186-190
    [44]David Hulse, Alan Dearle. Trends in Operating System Design:Towards a Customisable Persistent Micro-Kernel.Tech.Rep.Technical Report Pastel RT1R4, University of Stirling,1998
    [45]C.L.Liu, James W. Layland. Scheduling Algorithms for Multiprogramming in a Hard-Real-Time Environment. Journal of the ACM.1973,20(1):46-61
    [46]Phillip A.Laplante.Real-time systems design and analysis:An Engineers Handbook. Wiley-IEEE, 2004
    [47]Kevin Jeffay. Scheduling Sporadic Tasks with Shared Resources in Hard-Real-Time Systems. In: Proceedings of Real-Time Systems Symposium.Phoenix, Arizona, USA:IEEE Computer Society Press,1992,89-99
    [48]Kevin Jeffay, Donald L.Stone, David R. Poirier. Yartos:kernel support for efficient, predictable real-time systems. In:Proceedings of Joing IEEE Workshop on RealTime Operating Systems and Software.Atlanta, Georgia, USA,1991
    [49]Yun Wang, Manas Saksena. Scheduling Fixed-Priority Tasks with Preemption Threshold.In:Pro-ceedings of 6th International Workshop on Real-Time Computing and Applications Symposium. Hong Kong, China:IEEE Computer Society,1999,328-335
    [50]Yun Wang Manas Saksena. Scalable real-time system design using preemption thresholds. In: Proceedings of 21st IEEE Real-Time Systems Symposium(RTSS'2000).2000,25-34
    [51]John Regehr. Scheduling Tasks with Mixed Preemption Relations for Robustness to Timing Faults. In:Proceedings of IEEE Real-Time Systems Symposium.2002,315-326
    [52]Paolo Gai,Giuseppe Lipari, Marco Di Natale. Minimizing Memory Utilization of Real-Time Task Sets in Single and Multi-Processor Systems-on-a-Chip.In:Proceedings of 22nd IEEE Real-Time Systems Symposium.London, UK:IEEE Computer Society,2001,73-83
    [53]Paolo Gai, Enrico Bini, Giuseppe Lipari, Marco Di Natale, Luca Abeni.Architecture For A Portable Open Source Real Time Kernel Environment. In:Proceedings of Proceedings of the Second Real-Time Linux Workshop and Hand's on Real-Time Linux Tutorial.2000
    [54]Jiongxiong Chen, Ashif Harji, Peter Buhr.Solution Space for Fixed-Priority with Preemption Threshold. In:Proceedings of 11th IEEE Real Time and Embedded Technology and Applications Symposium (RTAS'05).San Francisco, CA, USA:IEEE Computer Society Press,2005,385-394
    [55]Lei Wang, Zhaohui Wu, Mingde Zhao, Guoqing Yang. Fault Tolerant Scheduling for Fixed-Priority Tasks with Preemption Threshold. In:Proceedings of 11th IEEE International Conference on Em-bedded and Real-Time Computing Systems and Applications (RTCSA'05).2005,220-225
    [56]Ravindra Jejurikar, Cristiano Pereira, Rajesh K. Gupta. Leakage aware dynamic voltage scaling for real-time embedded systems. In:Proceedings of Sharad Malik Kahng, Limor Fix, Andrew B., (Editors):41th Design Automation Conference.San Diego, CA, USA:ACM,2004,275-280
    [57]Dong-Zhi He, Fei-Yue Wang, Wei Li.Dynamic preemption threshold scheduling for specific real-time control systems. In:Proceedings of IEEE Networking, Sensing and Control.2005,395-400
    [58]Reinder J.Bril, Wim F.J.Verhaegh.Towards best-case response times of real-time tasks under fixed-priority scheduling with deferred preemption.In:Proceedings of WORK-IN-PROGRESS SESSION of the 17th EUROMICRO CONFERENCE ON REAL-TIME SYSTEMS(ECRTS 05). Palma de Mallorca, Balearic Islands, Spain,2005
    [59]王保进,李明树,王志刚.优先级有限时的单处理器静态优先级调度.软件学报.2006,17(3):602-610
    [60]王保进.嵌入式实时系统的任务调度与资源共享模型及算法研究.博士论文,郑州解放军信息工程大学,2005
    [61]Jiongxiong Chen.Extensions to Fixed Priority with PreemptionThreshold and Reservation-Based Schedulin.Ph.D. thesis, University of Waterloo,2005
    [62]朱立新嵌入式操作系统ASOS的研究.博士论文,北京中国科学院自动化研究所,2004
    [63]Kevin Skadron,Mircea R.Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan. Temperature-aware microarchitecture. ACM SIGARCH Computer Architecture News.2003,31(2):2-13
    [64]Kanishka Lahiri, Sujit Dey, Debashis Panigrahi, Anand Raghunathan.Battery-Driven System De-sign:A New Frontier in Low Power Design.In:Proceedings of ASPDAC 2002/VLSI Design 2002.Bangalore, India:IEEE,2002,261-267
    [65]Shekhar Borkar. Low power design challenges for the decade(invited talk). In:Proceedings of Asia and South Pacific Design Automation Conference 2001 (ASP-DAC 2001).Yokohama, Japan: ACM,2001,293-296
    [66]Theo A.C.M.Claasen.System on a Chip:Changing IC Design Today and in the Future.IEEE Micro.2003,23(3):20-26
    [67]Rochit Rajsuman.System-on-a-Chip:Design and Test. Artech House Publishers,2003
    [68]Kevin Skadron, Mircea R. Stan, Wei Huang, Sivakumar Velusamy, Karthik Sankaranarayanan, David Tarjan. Temperature-aware microarchitecture:Modeling and implementation.ACM Trans-actions on Architecture and Code Optimization (TACO).2004,1(1):94-125
    [69]Taikyeong T. Jeong, Jaemyoung Lee. Design and Verification for Hierarchical Power Efficiency System (HPES) Design Techniques Using Low Power CMOS Digital Logic.In:Proceedings of 6th International Conference on Computational Science (ICCS 2006), Part Ⅰ.Reading,UK:Springer, 2006, vol.3991 of Lecture Notes in Computer Science,761-768
    [70]W. Kuang, J.S.Yuan.An Adaptive Supply-Voltage Scheme for Low Power Self-Timed CMOS Digital Design. In:Proceedings of 16th International Conference on VLSI Design (VLSI Design 2003).New Delhi, India:IEEE Computer Society,2003,315-319
    [71]Yi-Jong Yeh, Sy-Yen Kuo. An Optimization-Based Multiple-Voltage Scaling Technique for Low-Power CMOS Digital Design.Journal of Circuits, Systems, and Computers.2002, 11(4):365-376
    [72]Jens Sparso, Steve Furber. Principles of Asynchronous Circuit Design:A Systems Perspective. European Low-Power Initiative for Electronic System Design, Springer,2001,1 edition edn.
    [73]Yann-Rue Lin, Cheng-Tsung Hwang, Allen C.-H.Wu. Scheduling techniques for variable voltage low power designs. ACM Transactions on Design Automation of Electronic Systems (TODAES). 1997,2(2):81-97
    [74]Woonseok Kim,Jihong Kim, Sang Lyul Min.Dynamic voltage scaling algorithm for fixed-priority real-time systems using work-demand analysis. In:Proceedings of Ingrid Verbauwhede Roh,Hyung,(Editors):ISLPED. Seoul,Korea:ACM.2003,396-401
    [75]Pedro Mejia-Alvarez, Rami Melhem, Daniel Mosse Hakan Aydin.Dynamic and aggressive schedul-ing techniques for power-aware real-time systems. In:Proceedings of 22nd IEEE Real-Time Sys-tems Symposium.London, UK:IEEE Computer Society,2001,95-105
    [76]Ravindra Jejurikar, Rajesh K. Gupta. Dynamic voltage scaling for systemwide energy minimization in real-time embedded systems. In:Proceedings of Rajiv V. Joshi Roy, Kiyoung Choi,Vivek Tiwari, Kaushik,(Editors):2004 International Symposium on Low Power Electronics and Design.Newport Beach,California,USA:ACM,2004,78-81
    [77]Padmanabhan Pillai, Kang G.Shin.Real-time dynamic voltage scaling for low-power embedded operating systems. In:Proceedings of 18th ACM Symposium on Operating System Principles. Chateau Lake Louise, Banff, Alberta, Canada:ACM,2001,vol.35,89-102
    [78]Osman S.Unsal, Israel Koren.System-level power-aware design techniques in real-time systems. Proceedings of the IEEE.2003,91(7):1055-1069
    [79]Christoforos E.Kozyrakis, Stylianos Perissakis, David A.Patterson, Thomas E.Anderson, Krste Asanovic, Neal Cardwell, Richard Fromm,Jason Golbus, Benjamin Gribstad, Kimberly Keeton, Randi Thomas,Noah Treuhaft,Katherine A.Yelick. Scalable Processors in the Billion-Transistor Era:IRAM. IEEE Computer.1997,30(9):75-78
    [80]Kunle Olukotun,Basem A.Nayfeh,Lance Hammond, Kenneth G.Wilson, Kunyung Chang. The Case for a Single-Chip Multiprocessor. In:Proceedings of Seventh International Conference on Ar-chitectural Support for Programming Languages and Operating Systems (ASPLOS 1996). ASPLOS-VII Proceedings, Cambridge, Massachusetts,1996,2-11
    [81]Mohamed Shalan, Vincent John Mooney Ⅲ.Hardware support for real-time embedded multiproces-sor system-on-a-chip memory management. In:Proceedings of Jorg Henkel Parameswaran,Xiaobo Sharon Hu, Rajesh Gupta, Sri,(Editors):Tenth International Symposium on Hardware/Software Codesign (CODES 2002). Estes Park, Colorado, USA:ACM,2002,79-84
    [82]Preeti Ranjan Panda, Alexandru Nicolau, Nikil Dutt. Memory Issues in Embedded Systems-on-Chip:Optimizations and Exploration.Norwell, MA, USA:Kluwer Academic Publishers,1998
    [83]Sven Wuytack, Julio Leao da Silva Jr.,Francky Catthoor, Gjalt G.de Jong, Chantal Ykman-Couvreur. Memory management for embedded network applications. IEEE Trans on CAD of Integrated Circuits and Systems.1999,18(5):533-544
    [84]Mohamed Shalan, Vincent John Mooney Ⅲ,Vincent J.Mooney. A dynamic memory management unit for embedded real-time system-on-a-chip. In:Proceedings of international conference on Com-pilers, architecture, and synthesis for embedded systems. San Jose, California, United States:ACM New York,NY, USA,2000,180-186
    [85]David Atienza, Jose M.Mendias, Stylianos Mamagkakis, Dimitrios Soudris, Francky Catthoor. Sys-tematic dynamic memory management design methodology for reduced memory footprint. ACM Transactions on Design Automation of Electronic Systems (TODAES).2006,11(2):465-489
    [86]Laurent George, Nicolas Rivierre, Marco Spuri.Preemptive and non-preemptive real-time unipro-cessor scheduling. Tech.Rep. RR-2966, INRIA, France,1996-09 1996
    [87]John P. Lehoczky. Fixed Priority Scheduling of Periodic Task Sets with Arbitrary Deadlines.In: Proceedings of IEEE Real-Time Systems Symposium.Lake Buena Vista, Florida, USA:IEEE Com-puter Society Press,1990,201-213
    [88]David Harel, Amir Pnueli.On the development of reactive systems. In:Proceedings of Logics and models of concurrent systems, Nato Asi Series F:Computer And Systems Sciences, New York, NY, USA:Springer-Verlag New York, Inc.,1989.477-498
    [89]Joel Goossens, Raymond R. Devillers. The Non-Optimality of the Monotonic Priority Assignments for Hard Real-Time Offset Free Systems. Real-Time Systems.1997,13(2):107-126
    [90]Kaj Hanninen,John Lundback, Kurt-Lennart Lundback, Jukka Maki-Turja, Mikael Nolin.Effi-cient Event-Triggered Tasks in an RTOS. In:Proceedings of Jurgen Becker Masaharu Imai Zoran A.Salcic Laurence Tianruo Yang, Hamid R. Arabnia, (Editor):2005 International Conference on Embedded Systems and Applications(ESA 2005).Las Vegas, Nevada, USA:CSREA Press,2005. 31-37
    [91]Kevin Jeffay, Donald F. Stanat, Charles U.Martel.On non-preemptive scheduling of period and sporadic tasks. In:Proceedings of 12th Real-Time Systems Symposium (RTSS91).San Antonio. TX,USA,1991,129-139
    [92]John P. Lehoczky, Lui Sha, Y. Ding.The Rate Monotonic Scheduling Algorithm:Exact Character-ization and Average Case Behavior. In:Proceedings of IEEE Real-Time Systems Symposium 1989. 1989,166-171
    [93]Neil C.Audsley, Alan Burns, M.F. Richardson, Andy J.Wellings. Hard Real-Time Scheduling:The Deadline-Monotonic Approach.In:Proceedings of 8th IEEE Workshop on Real-Time Operating Systems and Software.Atalanta,1991
    [94]Michael Gonz & lez Harbour, J.C.Palencia Gutierrez. Response Time Analysis for Tasks Scheduled under EDF within Fixed Priorities. In:Proceedings of 24th IEEE Real-Time Systems Symposium (RTSS 2003).Cancun,Mexico:IEEE Computer Society,2003,200-209
    [95]J.C.Palencia Gutierrez, Michael Gonzalez Harbour. Offset-Based Response Time Analysis of Distributed Systems Scheduled under EDF. In:Proceedings of 15th Euromicro Conference on Real-Time Systems (ECRTS 2003).Porto, Portugal:IEEE Computer Society,2003,3-12
    [96]J.C.Palencia Gutierrez, Michael Gonzalez Harbour. Schedulability Analysis for Tasks with Static and Dynamic Offsets. In:Proceedings of 19th IEEE Real-Time Systems Symposium(RTSS1998). Madrid, Spain,1998,26-39
    [97]Ken Tindell, Alan Burns, Andy J.Wellings.An Extendible Approach for Analyzing Fixed Priority Hard Real-Time Tasks. Real-Time Systems.1994,6(2):133-151
    [98]Neil C. Audsley. On priority assignment in fixed priority scheduling. Information Processing Letters. 2001,79(1):39-44
    [99]Ola Redell, Martin Torngren.Calculating Exact Worst Case Response Times for Static Priority Scheduled Tasks with Offsets and Jitter. In:Proceedings of 8th IEEE Real-Time and Embedded Technology and Applications Symposium(RTAS 2002).San Jose, CA,USA:IEEE Computer So-ciety,2002,164-172
    [100]W.Lamie. Preemption-threshold.Tech.rep.,Express Logic, inc.,1997
    [101]H.B. Netzer, Barton P. Miller. What Are Race Conditions? Some Issues and Formalizations. ACM Letters on Programming Languages and Systems (LOPLAS).1992,1(1):74-88
    [102]David Locke, Lui Sha, Ragunathan Rajkumar, John P. Lehoczky, Alan Burns. Priority inversion and its control:An experimental investigation.In:Proceedings of International Workshop on Real-time Ada Issues. Moretonhampstead, Devon, England:ACM,1988,39-42
    [103]Mike Jones.What really happened on mars rover pathfinder. The Risks Digest.1997,19(49)
    [104]Ragunathan Rajkumar, Lui Sha, John P. Lehoczky. Priority inheritance protocols:an approach to real-time synchronization.IEEE Transactions on Computers.1990,39(9):1175-1185
    [105]John B.Goodenough, Lui sha. The priority ceiling protocol:A method for minimizing the blocking of high priority ada tasks.In:Proceedings of ACM SIGAda Ada Letters (Special Edition:Interna-tional Workshop on Real-Time Ada Issues).1988, vol. VIII,20-31
    [106]T. P. Baker. A stack-based resource allocation policy for realtime processes. In:Proceedings of 11 th Real-Time Systems Symposium.Lake Buena Vista, FL, USA,1990,191-200
    [107]Saehwa Kim,Seongsoo Hong, Tae-Hyung Kim.Integrating Real-Time Synchronization Schemes into Preemption Threshold Scheduling. In:Proceedings of Fifth IEEE International Symposium on Object-Oriented Real-Time Distributed Computing. Washington, D.C.,USA:IEEE Computer Society,2002,145-152
    [108]Takayasu Sakurai, A.Richard Newton.Alpha-power law MOSFET model and its applications to CMOS inverterdelay and other formulas. IEEE Journal of Solid-State Circuits.1990,25(2):584-594
    [109]Takayasu Sakurai.Reducing Power Consumption of CMOS VLSI's through VDD and VTH Control. In:Proceedings of 1 st International Symposium on Quality of Electronic Design(ISQED 2000). San Jose, CA,USA:IEEE Computer Society,2000,417-424
    [110]Woonseok Kim, Jihong Kim,Sang Lyul Min.A Dynamic Voltage Scaling Algorithm for Dynamic-Priority Hard Real-Time Systems Using Slack Time Analysis.In:Proceedings of France Paris, (Editor):Design,Automation and Test in Europe Conference and Exposition.IEEE Computer So-ciety,2002,788-794
    [111]Tohru Ishihara, Hiroto Yasuura. Voltage Scheduling Problem for Dynamically Variable Voltage Processors. In:Proceedings of 1998 international symposium on Low power electronics and design. Monterey, California, United States:ACM,1998,197-202
    [112]Woonseok Kim,Dongkun Shin,Han-Saem Yun, Jihong Kim,Sang Lyul Min.Performance Compar-ison of Dynamic Voltage Scaling Algorithms for Hard Real-Time Systems. In:Proceedings of 8th IEEE Real Time Technology and Applications Symposium.San Jose, CA, USA:IEEE Computer Society,2002,219-228
    [113]Sheayun Lee, Sang Lyul Min, Chong-Sang Kim, Chang-Gun Lee, Minsuk Lee.Cache-Conscious Limited Preemptive Scheduling. Real-Time Systems.1999,17(2-3):257-282
    [114]Saowanee Saewong, Ragunathan Rajkumar. Practical Voltage-Scaling for Fixed-Priority RT-Systems. In:Proceedings of 9th IEEE Real-Time and Embedded Technology and Applications Symposium.Toronto, Canada:IEEE Computer Society,2003,106-
    [115]Yann-Hang Lee, Krishna P. Reddy, C.Mani Krishna. Scheduling Techniques for Reducing Leakage Power in Hard Real-Time Systems. In:Proceedings of 15th Euromicro Conference on Real-Time Systems(ECRTS 2003).Porto, Portugal:IEEE Computer Society,2003,105-112
    [116]Ravindra Jejurikar, Rajesh K. Gupta. Dynamic slack reclamation with procrastination scheduling in real-time embedded systems. In:Proceedings of William H.Joyner Jr. Kahng, Grant Martin, Andrew B.,(Editors):42nd Design Automation Conference.San Diego, CA,USA:ACM,2005, 111-116
    [117]Ravindra Jejurikar, Rajesh K. Gupta. Procrastination scheduling in fixed priority real-time systems. In:Proceedings of 2004 ACM SIGPLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems.Washington, DC, USA:ACM,2004,57-66
    [118]Gang Quan.Linwei Niu, Xiaobo Sharon,Hu Bren Mochocki.Fixed Priority Scheduling for Re-ducing Overall Energy on Variable Voltage Processors. In:Proceedings of 25th IEEE Real-Time Systems Symposium.Lisbon, Portugal:IEEE Computer Society,2004,309-318
    [119]Linwei Niu,Gang Quan.Reducing both dynamic and leakage energy consumption for hard real-time systems.In:Proceedings of Mary Jane Irwin Mahlke, Wei Zhao, Luciano Lavagno,Scott A., (Editors):2004 International Conference on Compilers, Architecture, and Synthesis for Embedded Systems.Washington DC, USA:ACM,2004,140-148
    [120]Preeti Ranjan Panda, Nikil D.Dutt, Alexandru Nicolau. Memory data organization for improved cache performance in embedded processor applications. ACM Transactions on Design Automation of Electronic Systems (TODAES).1997,2(4):384-409
    [121]Yanbing Li,Wayne Wolf. Hardware/software co-synthesis with memory hierarchies. In:Proceed-ings of International Conference on Computer-Aided Design(ICCAD 1998).San Jose, CA,USA: ACM and IEEE Computer Society,1998,430-436
    [122]L.Barello.AvrX Real-Time Kernel.Tech.rep.,http://barello.net/avrx/,2006
    [123]R.Barry. Free Real-Time Operating System(FreeRTOS).Tech.rep., Free Real-Time Operating System(FreeRTOS),2006
    [124]Jean J.Labrosse.MicroC/OS Ⅱ:The Real-Time Kernel.San Francisco, CA:CMP Books,2002. 2nd edn.
    [125]XWei Chen,Zhaohui Wu, iang Wang. Minimizing Memory Utilization of Task Sets in SmartOSEK. In:Proceedings of 19th International Conference on Advanced Information Networking and Appli-cations (AINA 2005).Taipei, Taiwan:IEEE Computer Society,2005,552-558
    [126]Khawar M.Zuberi,Kang G.Shin.An efficient semaphore implementation scheme for small-memory embedded systems. In:Proceedings of 3rd IEEE Real Time Technology and Applications Symposium (RTAS'97). Montreal,Canada:IEEE Computer Society,1997,25-37
    [127]John A.Stankovic.Real-Time and Embedded Systems. ACM Computing Surveys.1996,28(1):205-208
    [128]John A.Stankovic.Strategic Directions in Real-Time and Embedded Systems. ACM Computing Surveys.1996,28(4):751-763
    [129]Pai H. Chou, Gaetano Bordello. Software Scheduling in the Co-Synthesis of Reactive Real-Time Systems. In:Proceedings of 31st Conference on Design Automation (DAC 1994). San Diego, California, USA:ACM Press,1994,1-4
    [130]Pai H.Chou, Elizabeth A.Walkup, Gaetano Borriello. Scheduling for Reactive Real-Time Systems. IEEE Micro.1994,14(4):37-47
    [131]Giorgio C.Buttazzo.Rate Monotonic vs. EDF:Judgment Day. Real-Time Systems.2005,29(1):5-26
    [132]Neil C.Audsley. Optimal Priority Assignment And Feasibility Of Static Priority Tasks With Arbi-trary Start Times. Tech.Rep. Report YCS 164.Univ. of York, Dept. of Computer Science,1991
    [133]J.Y.T. Leung. J.Whitehead.On the complexity of fixed-priority scheduling of periodic, real-time tasks. Performance Evaluation(Netherlands).1982,2(4):237
    [134]Joel Goossens. Scheduling of Hard Real-Time Periodic Systems with Various Kinds of Deadline and Offset Constraints.Ph.D. thesis, UNIVERSITIE LIBRE DE BRUXELLES,1999
    [135]C.Small.Shrinking devices put the squeeze on system packaging. EDN.1994,39(4):41-46
    [136]Paul J.M.Havinga, Gerard J.M.Smit. Design Techniques for Low Power Systems.Journal of Systems Architecture:the EUROMICRO Journal.2000,46(1):1-21
    [137]Thomas D. Burd, Robert W. Brodersen.Design issues for dynamic voltage scaling. In:Proceedings of Thaddeus Gabara Enrico Macii David Blaauw, Christian C.Enz, (Editor):The 2000 International Symposium on Low Power Electronics and Design (ISLPED 2000). Rapallo, Italy:ACM,2000, 9-14
    [138]Intel.Intel XScale Processor CoreD eveloper's Manual.Tech.rep.,2000
    [139]Transmeta. Transmeta Crusoe Processor,2000
    [140]AMD.AMD PowerNow Technology. Tech.Rep. W hite Paper No.24404,2000
    [141]Johan A.Pouwelse, Koen Langendoen, Henk J. Sips. Dynamic voltage scaling on a low-power microprocessor. In:Proceedings of MOBICOM 2001.2001,251-259
    [142]F. Yao, S.Shenker, A. Demers.A scheduling model for reduced CPU energy. In:Proceedings of 36th Annual Symposium on Foundations of Computer Science(FOCS'95).IEEE Computer Society, 1995,374-382
    [143]Gang Quan, Xiaobo Hu. Energy efficient fixed-priority scheduling for real-time systems on variable voltage processors. In:Proceedings of Annual ACM IEEE Design Automation Conference(38th conference on Design automation).Las Vegas, Nevada, United States,2001,828-833
    [144]Bren C.Mochocki, Xiaobo Sharon Hu, Gang Quan. A Unified Approach to Variable Voltage Scheduling for Nonideal DVS Processors. IEEE Transactions on Computer-Aided Design of In-tegrated Circuits and Systems.2004,23(9)
    [145]A.Manzak, C.Chakrabart. Variable Voltage Task Scheduling Algorithms for Minimizing En-ergy/Power. IEEE Transactions on Very Large Scale Integration (VLSI)Systems.2003,11(2):270-276
    [146]Hakan Aydin, Rami G.Melhem, Daniel Mosse, Pedro Mejia-Alvarez. Determining Optimal Proces-sor Speeds for Periodic Real-Time Tasks with Different Power Characteristics.In:Proceedings of 13th Euromicro Conference on Real-Time Systems (ECRTS 2001).Delft,The Netherlands:IEEE Computer Society,2001,225-232
    [147]Woo-Cheol Kwon,Taewhan Kim.Optimal voltage allocation techniques for dynamically variable voltage processors. In:Proceedings of 40th Design Automation Conference.Anaheim,CA, USA: ACM,2003,125-130
    [148]Youngsoo Shin, Kiyoung Choi, Takayasu Sakurai.Power Optimization of Real-Time Embed-ded Systems on Variable Speed Processors. In:Proceedings of Ellen Sentovich,(Editor):2000 IEEE/ACM International Conference on Computer-Aided Design(ICCAD 2000).San Jose, Cali-fornia, USA:IEEE,2000
    [149]Hong Inki,Qu Gang, M.Potkonjak M.B.Srivastavas. Synthesis techniques for low-power hard real-time systems on variable voltage processors. In:Proceedings of 19th IEEE Real-Time Systems Symposium.Madrid, Spain,1998,178-187
    [150]C.M.Krishna, Y H.Lee.Voltage-Clock-Scaling Adaptive Scheduling Techniques for Low Power in Hard Real-Time Systems.IEEE Transactions on Computers.2003,52(12):1586-1593
    [151]Kiran Seth,Aravindh Anantaraman, Frank Mueller, Eric Rotenberg. FAST:Frequency-aware static timing analysis. ACM Transactions in Embedded Computing Systems.2006,5(1):200-224
    [152]Dongkun Shin, Jihong Kim,Seongsoo Lee.Intra-Task Voltage Scheduling for Low-Energy, Hard Reat-Time Applications.IEEE Design & Test of Computers.2001,18(3):20-30
    [153]Dongkun Shin, Seongsoo Lee, Jihong Kim.Low-Energy Intra-Task Voltage Scheduling Using Static Timing Analysis. In:Proceedings of 38th Design Automation Conference. Las Vegas, NV, USA, 2001,438-443
    [154]F. Mueller, J.Wegener. A Comparison of Static Analysis and Evolutionary Testing for the Verifica-tion of Timing Constraints. Real-Time Systems.2001,21(3):241-268
    [155]Arjan J.C. van Gemund. Symbolic Performance Modeling of Parallel Systems. IEEE Transactions on Parallel and Distributed Systems.2003,14(2):154-165
    [156]Intel Corporation. Intel PXA250 and PXA210 Application Processors Developer's Manual.Tech. rep.,2002
    [157]Flavius Gruian, Krzysztof Kuchcinski.Lenes:task scheduling for low-energy systems using variable supply voltage processors. In:Proceedings of The 2001 conference on Asia South Pacific design automation with EDA Technofair Design Automation Conference Asia and South Pacific (ASP-DAC). Yokohama, Japan:ACM Press,2001,449-455
    [158]Tadahiro Kuroda, Kojiro Suzuki,Shinji Mita, Tetsuya Fujita, Fumiyuki Yamane, Fumihiko Sano, Akihiko Chiba, Yoshinori Watanabe, Koji Matsuda, Takeo Maeda, Takayasu Sakurai,Tohru Fu-ruyama. Variable Supply-Voltage Scheme for Low-Power High-Speed CMOS Digital Design.IEEE JOURNAL OF SOLID-STATE CIRCUITS.1998,33(3)
    [159]Frank Muller. Timing Analysis for Instruction Caches. Real-Time Systems.2000,18(2/3):217-247
    [160]Chang Yun Park.Predicting Program Execution Times by Analyzing Static and Dynamic Program Paths. Real-Time Systems.1993,5(1):31-62
    [161]Sandy Irani,Sandeep K. Shukla, Rajesh K. Gupta. Algorithms for power savings. In:Proceedings of Fourteenth Annual ACM-SIAM Symposium on Discrete Algorithms. ACM,2003,37-46
    [162]Daniel Mosse Ruibin Xu, Dakai Zhu, Cosmin Rusu, Rami G.Melhem.Energy-efficient policies for embedded clusters. In:Proceedings of Yunheung Paek Gupta, Rajiv, (Editors):2005 ACM SIG-PLAN/SIGBED Conference on Languages, Compilers, and Tools for Embedded Systems. Chicago, Illinois, USA:ACM,2005
    [163]Neil C.Audsley, Alan Burns, M.F. Richardson, Andy J.Wellings.Applying New Scheduling Theory to Static Priority Pre-emptive Scheduling. Software Engineering Journal.1993,8(5):284-292
    [164]Fadia Nemer, Hugues Casse, Pascal Sainrat, Jean Paul Bahsoun. Marianne De Michiel.PapaBench: a Free Real-Time Benchmark. In:Proceedings of Frank Mueller, (Editor):6th Intl.Workshop on Worst-Case Execution Time (WCET) Analysis. Dresden, Germany:Internationales Begegnungs-und Forschungszentrum fuer Informatik (IBFI), Schloss Dagstuhl,Germany,2006, vol.06902 of Dagstuhl Seminar Proceedings
    [165]Alessandro Macchelli, Claudio Melchiorri.Real time control system for industrial robots and control applications based on real time Linux. In:Proceedings of 15th Triennial World Congress of the International Federation of Automatic Control.Barcelona,2002
    [166]M.Gonzalez M.Aldea. POSIX-Compatible Application-Defined Scheduling in MaRTE OS.In: Proceedings of 14th Euromicro Conference on Real-Time System.2002,67-75
    [167]J.Vidal,lsmael Ripoll, Alfons Crespo, Patricia Balbastre.Application-Defined Scheduler Imple-mentation in RTLinux.In:Proceedings of Fifth Real-Time Linux Workshop. Camino de Vera s/n, Valencia, Spain,2003
    [168]lsmael Ripoll Patricia Balbastre. Integrated Dynamic Priority Scheduler for RTLinux.In:Proceed-ings of Third Real-Time Linux Workshop.2001
    [169]Arnoldo Diaz, lsmael Ripoll,Alfons Crespo, Patricia Balbastre.A New Application-Defined Scheduling Implementation in RTLinux.In:Proceedings of Sixth Real-Time Linux Workshop. Nanyang Technological University (NTU), Singapore,2004,175-181

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700