基于累加器的DSP数据通路的内建自测试技术的研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
片上系统(SoC)技术的快速发展对包括数字信号处理器(DSP)在内的各种VLSI测试带来了挑战。内建自测试(BIST)技术已成为解决VLSI测试难题和降低测试成本的重要手段。基于累加器的BIST因复用VLSI中的部分加法器作为VLSI的测试生成器、测试响应压缩器,能够减少硬件开销且性能好,近几年正成为VLSI测试领域的研究热点之一。本论文基于累加器,对DSP数据通路的BIST技术进行了创新性和探索性研究,主要包括以下五方面内容。
     1.提出并详细探讨了BIST环境中DSP数据通路的一种基于扫描通路法的可测性设计方案:利用三态门,实现DSP数据通路测试状态与工作状态的转换,在测试状态下将数据通路中的部分寄存器转化成扫描链,并切断数据通路中的反馈回路。该方案通用性强、可测性好、额外硬件开销小且不会降低原VLSI性能。
     2.研究了基于累加器的BIST环境中DSP数据通路的测试生成。证明了n位加/减法器的2n位测试矢量(TP)可由两个n位累加器产生的矢量合成。针对DSP数据通路中加/减法器的具体情况,通过优化TP最低位子空间,探索出了基于累加器的一种测试生成优化方法。仿真实验表明,优化TP能完全覆盖加法器的组合固定型故障。研究出了阵列乘法器的TP,证明了这些TP能由累加器生成。仿真实验表明,这些TP能完全覆盖阵列乘法器的单、双组合固定型故障。同时,分析了常输入乘法器的TP,指出这些TP可由累加器产生。
     3.研究了累加器生成的TP,提出了基于累加器的BIST环境中DSP数据通路低功耗测试生成的一种有效方法:对测试矢量进行伪格雷码编码,以在测试期间降低被测电路模块的开关活动率,该编码通过复用数据通路中的加法器经济地予以实现。仿真实验表明,编码后的TP较大地降低了基于累加器的BIST测试功耗。
     4.研究了基于累加器的BIST环境中DSP数据通路分阶分层的测试方法:将DSP数据通路中部分加法器复用成其测试生成器,部分寄存器复用成扫描链,根据DSP数据通路的结构规则性,按阶逐层地完成DSP数据通路的测试。该方法通用性强、测试效率高、测试时间短、故障覆盖率高、额外硬件开销小。
     5.研究了基于累加器测试生成的加法器测试,提出了DSP数据通路中加法器的一种有效自测试方案。扩展了测试响应累加器压缩思想,提出了加法器的一种BIST方案。根据这些方案,对行波进位加法器分别进行了自测试、BIST的原理性电路设计。仿真实验表明,这些自测试、BIST的测试性能高,额外硬件开销小。该研究有助于解决基于累加器的BIST环境中DSP数据通路的测试生成器和响应压缩器的自测试难题。
With the rapid development of System-on-Chip technology, it brings challenges to the testing of all kinds of VLSI which include Digital Signal Processor (DSP). Built-in Self-Test (BIST) has become an important approach for testing VLSI that reduces test complexity and cost. Because reuses of some adders in VLSI as generators of test patterns (TP) and compactors of test responses result in reduction of additional hardware overhead for the VLSI test, accumulator-based BIST has good performance and has recently been highly recognized in VLSI test research field. This dissertation reports an investigation on accumulator-based BIST for DSP data path. Major works for this innovative research consist of five aspects as followings:
     1. In BIST environment, A scheme of Design-for-Testability for DSP data path is proposed and investigated based on scan path method. For the scheme, tristate gates are utilized to implement the mode switches for test and work, and convert some registers in DSP data path into scan chains and cut off feedback loops in DSP data path during testing. This scheme has advantages such as good generality, good testability, and low additional hardware overhead without degradation of performance for original VLSI.
     2. In accumulator-based BIST environment, TP generation for DSP data path is discussed. It is proved that 2n-bit TP for n-bit adder and subtracter can be synthesized by vectors from two n-bit accumulators. Regarding details of adder and subtracter in DSP data path, an optimized method for the TP generation based on accumulator is explored through optimizing the lowest significant subspace of the TP. Results of simulation experiments show that the optimaized TP cover combinational stuck-at faults of adder completely. TP of array multiplier are studied and it is proved that accumulator can generate them too. Simulation results show that the TP can cover all single and double combinational stuck-at faults of array multiplier. At the same time, TP of constant input multiplier are discussed and it is pointed out that accumulator can generate them.
     3. TP generated by accumulators are studied and an effective method to generate TP for low power consumption testing of DSP data path in accumulator-based BIST environment is presented. Basic idea of the method is that encoded the TP produced by accumulation generator into Pseudo Gray Code to reduce the overall switching activities of the circuit module during testing. This code can be implemented economically by reusing the adder in DSP data path. Simulation results show that the encoded TP could greatly decrease test power consumption in accumulatior-based BIST environment.
     4. A method for testing in order and layer is researched for DSP data path in the environment of BIST based on accumulator. For this method, some adders in DSP data path are reused as generators of its TP and some registers in data path as scan chains. According to the regularity of DSP data path architecture, testing of DSP data path is performed in order and layer. This approach has advantages such as good generality, high efficiency, short testing duration, high fault coverage and low consumption for additional hardware.
     5. Adder test based on accumulaor generation is researched and an effective way is presented for the self-test of adders in DSP data path. Mind of accumulator-based compression of test response is extended, and then a BIST scheme for adders is proposed. According to the schemes, the concept designs are carried out for the self-test and BIST of ripple carry adder, respectively. Simulation shows that the self-test and BIST indicated above has the advantages, such as high testing capability and low additional hardware overhead. These researches will be helpful to solve the critical self-test issues regarding accumulation-based generator and compressor of DSP data path in accumulator-based BIST environment.
引文
[1]Marinissen E J,Zorian Y.Challenges in Testing Core-Based System ICs.IEEE Communications Magazine,1999,37(6):104-109
    [2]Gupta S,Rajski J,Tyszer J.Arithmetic Additive Generators of Pseudo-Exhaustive Test Patterns.IEEE Transactions On Computers,1996,45(8):939-949
    [3]Rajski J,Tyszer J.Accumulator-based compaction of test responses.IEEE Transactions On Computers,1993,42:643-650
    [4]2003 International Technology Roadmap for Semiconductors,Semiconductor Industry Association,Hsin Chu,Taiwan,2003
    [5]Zorian Y,Marinissen E J,Dey S.Testing Embedded-Core Based System Chips.Computer,1999,32(6):52-60
    [6]Herrell D.Power to Package.IEEE Spectrum,1999,36(7):46-53
    [7]Ochoa J A,Porter J R.Semiconductor Test Strategies.IEEE Instrumentation & Measurement Magazine,2003,6(1):20-25
    [8]Griaid P.Survey of Low-power Testing of VLSI Circuits.IEEE Design & Test of Computers,2002,19(3):80-90
    [9]Wang C Y,Roy K.Maximum power estimation for CMOS circuits using deterministic and statistical approaches.IEEE transactions on Very Large Scale Integration(VLSI) Systems,1998,6(1):134-140
    [10]陈光(礻禹).数据域测试及仪器:数字系统的故障诊断与可测性设计.成都:电子科技大学出版社,2001,16-92
    [11]McCluskey EJ.Verification Testing-A pseudoexhaustive Test Technique.IEEE transanctious on Computers,1984,33(6):541-546
    [12]Damarla T,Sathaye A.Applications of One-Dimensional Cellular Automata and Linear Feedback Shift Registers for Pseudo-Exhaustive Testing.IEEE transactions on Computer Aided Design of Integrated Circuits and Systems,1993,12(10):580-591
    [13]Chen C -I H,Yuen J T.Automated Synthesis of Pseudo-Exhaustive Test Generator in VLSI BIST Design.IEEE transactions on VLSI Systems,1994,2(3):273-291
    [14]1999 International Technology Roadmap for Semiconductors,Semiconductor Industry Association,Hsin Chu,Taiwan,1999
    [15]Wunderlich H J.BIST for system-on-a-chip.INTEGRATION,the VLSI journal,1998,26:55-78.
    [16]Agrawal V D,Kime C R,and Saluja K K.A Tutorial on Built-In Self-Test,Part 1:Principles.IEEE Design and Test of Computers,1993,10(1):73-82
    [17]Agrawal V D,Kime C R,and Saluja K K.A Tutorial on Built-In Self-Test,Part 2:Applications.IEEE Design and Test of Computers,1993,10(2):69-77
    [18]Steininger A.Testing and built-in self-test-A Survey.Journal of Systems Architecture,2000,46:721-747
    [19]Hellebrand H,Rajski J,Tarnick S,et al.Built-In Test for Circuits with Scan Based on Reseeding of Multiple-Polynomial Linear Feedback Shilft Registers.IEEE Transactions on Computers,1995,44(2):223-233
    [20]Touba N A,McCluskey E J.Transformed Pseudo-Random Patterns for BIST.Proceedings of 13~(th) IEEE VLSI Test Symposium,1995:410-416
    [21]Hortensius P D,McLeod R D,Pries W,et al.Cellular automata-based pseudorandom number generators for built-in self-test.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1989,8(8):842-859
    [22]Serra M,Slater T,Muzio J C,et al.The analysis of one-dimensional linear cellular automata and their aliasing properties.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1999,9(7):767-778
    [23]Majumdar A.On Evaluating and Optimizing Weights for Weighted Random Pattern Testing.IEEE Transactions on Computers,1996,45(8):904-916
    [24]Savir J.Distributed Generation of Weighted Random Patterns.IEEE Transactions on Computers,1999,48(12):1364-1368
    [25]Chakrabarty K,Das S R.Test-Set Embedding Based on Width Compression for Mixed-Mode BIST.IEEE Transactions on Instrumentation and Measurement,2000,49(3):671-678
    [26]Rajsuman R.Rambist builder:a Methodology for Automatic Built-In Self-Test Design of Embedded Rams.IEEE International Workshop on Memory Technology,Design and Testing,1996:50-56
    [27]Hii H,Powell T,Cline D.A Built In Self Test Scheme for 256Meg Sdram.IEEE International Workshop On Memory Technology,Design and Testing,1996:15-21
    [28]McConnell R,Moller U,Richter D.How We Test Siemens Embedded DRAM Cores.Proceedings of International Test Conference,1998:1120-1125
    [29]Radecka K,Rajski J and Tyszer J,Arithmetic Built-In Self-Test for DSP Cores,IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,1997,16(11):1358-1369
    [30]Goodby L,Orailoglu A.Test quality and fault risk in digital filter datapath BIST.Proceedings of Europe Conference and Exhibition on Design,Automation and Test,2000:468-475
    [31]Boateng I O,Takahashi H,Takamatsu Y.A general BIST-amenable method of test generation for iterative logic arrays.Proceedings of 18~(th) IEEE VLSI Test Conference,2000:171-176
    [32]Wada H,Masuzawa T,Saluja K K,et al.Design for strong testability of RTL data paths to provide complete fault efficiency.Proceedings of IEEE 13~(th) International Conference on VLSI Design,2000:300-305
    [33]Masuzawa T,Izutsu M,Wada H,et al.Single-controller testability of RTL data paths for BIST.Proceedings of 9~(th) Asian Test Conference(ATS2000),2000:210-215
    [34]Nicolici N,Al-Hashimi B M,et al.BIST hardware synthesis for RTL data paths based on test compatibility classes.IEEE Trans.on Computer-Aided Design of Integrated Circuits and Systems,2000,19(11):1375-1385
    [35]Nicolici N,Al-Hashimi B M.Power conscious test synthesis and scheduling for BIST RTL data paths.Proceedings of IEEE International Test Conference,2000:662-671
    [36]Nicolici N,Al-Hashimi B M.Tackling test trade-offs for BIST RTL data paths:BIST area overhead,test application time and power dissipation.Proceedings of IEEE International Test Conference,2001:72-81
    [37]Nicolici N,Al-Hashimi B M.Testability trade-off for BIST RTL data paths:the case for three dimensional design spaces.Proceedings of Conference and Exhibition on Design,Automation and Test in Europe,2001:802
    [38]EI-Maleh A H,Osais Y E.A retiming-based test pattern generator design for built-in self test of data path architecture.Proceedings of 2001 IEEE International Conference on Circuits and Systems,2001:550-553
    [39]Rong Lin,Margala M,azakova N K.A novel self-repairable parallel multiplier architecture:design and test.Proceedings of 2002 IEEE Asia-Pacific Conference on ASIC,2002:29-32
    [40]Kranitis N,Psarakis M,izopoulos D G,et al.An efficient deterministic BIST scheme for shifter/accumulator pair in datapaths.Proceedings of 2001 International Conference on Quality Electronic Design,2001:343-349
    [41]Gupta S,Rajski J,Tyszer J.Test Pattern Generation Based on Arithmetic Operations.Proceedings of IEEE/ACM International Conference on Computer-Aided Design,1994:117-124
    [42]Mukherjee N,Kassab H,Rajski J,et al.Arithmetic Built-in Self Test for High-Level Synthesis.Proceedings of 13~(th) IEEE VLSI Test Symposium,1995:132-139
    [43]Chen C,Gupta S.BIST test pattern generators for two pattern testing-theory and design algorithms.IEEE Transactions On Computers,1996,45(3):257-269
    [44]Voyiatzis I,Paschalis A,Nikolos D,et al.Accumulator-based BIST approach for stuck-open and delay fault testing.Proceedings of European Design and Test Conference,1995:431-435
    [45]Voyiatzis I,Paschalis A,Nikolos D,et al.Accumulator-based BIST approach for two-pattern testing.Journal of Electronic Testing:Theory and Applications,1999,15(3):267-278
    [46]Voyiatzis I,Gizopoulos D,Paschalis A.Accumulator-based test generation for robust sequential fault testing in DSP cores in near-optimal time.IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2005,13(9):1079-1086
    [47]Voyiatzis I,Gizopoulos D,Paschalis A.Accumulator-based weighted pattern generation.Proceedings of 11~(th) IEEE International On-Line Testing Symposium,2005:215-220
    [48]Voyiatzis I.Accumulator-based compression in symmetric transparent RAM BIST.Proceedings of International Conference on Design and Test of Integrated Systems in Nanoscale Technology,2006:273-278
    [49]Frank Mayer,Albrecht P S.Test length reduction for accumulator-based self-test.Proceedings of the 1997 IEEE International Symposium on Circuits and Systems,1997,4:2705-2708
    [50]Xavier D,Aitken R C,Ivanov A,et al.Using an asymmetric error model to study aliasing in signature analysis registers,IEEE Transactions on Computer-Aided Design,1992,11:16-25
    [51]Chakrabarty K,Hayes J P.On the Quality of Accumulator-Based Compaction of Test Responses.IEEE Transactions On Computer-Aided Design of Integrated Circuits and Systems,1997,16(8):916-922
    [52]Rainer Dorsch,Wunderlich H J.Accumulator based deterministic BIST.Proceedings of the 1998 IEEE International Test Conference,1998:412-421
    [53]Ismet B,Alex O.Accumulation-based concurrent fault detection for linear digital state variable systems.Proceedings of the 9~(th) IEEE Asian Test Symposium,2000:484-488
    [54]Mukherjee N,Rajski J,Tyszer J.Testing scheme for FIR filter structures.IEEE Transactions On Computer,2001,50(7):674-688
    [55]Bakalis D,Nikolos D,Vergos H T,et al.On accumulator-based bit-serial test response compaction schemes.Proceedings of 2001 International Quality Electronic Design Symposium,2001:350-355
    [56]Kavousianos X,Bakalis D,Nikolos D.A novel reseeding technique for accumulator-based test pattern generation.Proceedings of the 2001 IEEE Great Lakes Symposium on VLSI,2001:7-12
    [57]Nikolos D G,Nikolos D,Vergos H T,et al.An Efficient BIST scheme for High-Speed Adders.Proceedings of the 9~(th) IEEE International On-Line Testing Symposium,Kos Island,2003:89-93
    [58]Karpodinis P,Kagaris D,Nikolos D.Accumulator based test-per-scan BIST.Proceedings of the 10~(th) IEEE International On-Line Testing Symposium,2004:193-198
    [59]Kagaris D,Karpodinis P,Nikolos D.On obtaining maximum-length sequences for accumulator-based serial TPG.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2006,25(11):2578-2586
    [60]陈光(礻禹),潘中良编著.可测性设计技术.电子工业出版社,1996年
    [61]谢永乐.系统芯片内嵌数字芯核的测试研究:[博士论文].成都:电子科技大学,2003
    [62]胡斌.系统芯片内嵌数字芯核的测试数据压缩技术研究:[博士论文].成都:电子科技大学,2005
    [63]魏政道.产生功能级数字电路测试码的一种算法--主路径敏化法.计算机学报,1982,2:125-139
    [64]李思昆,曾芷德等著.数字系统并行CAD技术.北京:国防工业出版社,2000年,102-133
    [65]李兆麟,盛世敏,吉利久,等.基于单元故障模型的树型加法器的测试.计算机学报,2003,26(11):1494-1503
    [66]李兆麟,田泽,于敦山,等.基于计数器实现的加法器自测试.微电子学,2003,33(1):60-62
    [67]李兆麟,叶以正,毛志刚.基于多扫描链的内建自测试技术中的测试向量生成.计算机学报,2001,24(4):411-419
    [68]王巍,高德远,牟澄宇,等.FCT6芯片的内建自测试方法.西北工业大学学报,2000,18(3):352-356
    [69]Dong Xiang.Non-scan design for testability for mixed RTLcircuits with both data paths and controller via conflict analysis.Proceedings of 12~(th) Asian Test Conference,2003:300-303.
    [70]向东,顾珊,徐奕.基于难测故障冲突分析的非扫描可测性设计.清华大学学报(自然科学版),2003,43(7):1001-1004
    [71]Li-Jian Li,Ying-Hua Min.An efficient BIST design using LFSR-ROM architecture.Proceedings of 9~(th) Asian Test Conference(ATS 2000),2000:386-390
    [72]尚勇,吴顺君.一种新的FIR滤波器脉动实现结构.电子学报,2000,28(1):57-59
    [73]技术预测与国家关键技术选择研究组.未来10年我国可能实现产业跨越式发展的重大核心技术.中国科技论坛,2004,2:9-12
    [74]袁智德,宣国良.我国集成电路设计业发展的战略选择.经济理论与经济管理,2001,5:42-46
    [75]王阳元,张兴.面向21世纪的微电子技术.世界科技研究与发展,1999,21(4):4-11
    [76]何杰.“半导体集成化芯片系统基础研究”重大研究计划进展综述.中国科学基金,2005,6:343-346
    [77]江波,钱惠生.离散傅里叶变换的脉动阵列实现.电子学报,1995,23(4):53-56
    [78]Ting L K,Woods R F,Cowan C F N.Virtex FPGA Implementation of a Pipelined Adaptive LMS Predictor for Electronic Support Measures Receivers.IEEE Transactions On VLSI Systems,2005,13(1):86-95
    [79]Keshab K Parhi.VLSI Digital Signal Processing Systems:Design and Implementation.Beijing:China Machine Press,2003,646-648
    [80]McCluskey E J,Tseng C W.Stuck-at fault tests vs actual defects.Proceedings of International Test Conference,2000:336-343
    [81]Maunder C.The Test Access Port and Boundary Scan Architecture.CA:IEEE Computer Society Press,1992
    [82]Parthasarathy R,Reddy S M.A Testable Design of Iterative Logic Arrays.IEEE Transactions On Computers,1981,C-30(11):833-841
    [83]Jamoussi M,Kaminska B,Mukhedkar D.Testability of one-dimensional iterative arrays using a variable testability measure.IEEE Transactions on Circuits and Systems Ⅰ:Fundamental Theory and Applications,1994,41(1):82-86
    [84]Vergis A,Elhuni H,Kinney L.C-Testability of Two-Dimensional Iterative Arrays.IEEE Transactions on Computer-Aided Design,1986,CAD-5:573-581
    [85]Liu Tong,Huang Wei Kang,Meyer F J,et al.Testing and testable designs for one-time programmable FPGAs.IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems,2000,19(11):1370-1375
    [86]Li Jin-Fu,Wu Cheng-Wen,Efficient FFT network testing and diagnosis schemes.IEEE Transactions on Very Large Scale Integration(VLSI) Systems,2002,10(3):267-278
    [87]Popeson D E,Popescu C.A minimal testing technique for single stuck-at faults in a pyramidal carry lookahead adder(PyCLA).Proceedings of 47~(th) Midwest Symposium on Circuits and Systems,2004,3:439-442
    [88]Walker M G.Modeling the wiring of deep submicron ICs.IEEE Spectrum,2000,37(3):65-71
    [89]肖继学,陈光(礻禹),谢永乐.FFT处理器的算术测试与可测性设计.仪器仪表学报,2007,28(4):657-662
    [90]肖继学,陈光(礻禹),谢永乐.ⅡR滤波器的测试及可测性设计.计算机辅助设计与图形学学报,2007,19(02):203-209
    [91]肖继学,陈光(礻禹),谢永乐.DF-FPDLMS自适应滤波器的可测性设计与测试.电子科技大学学报(自然科学版),2007,36(4):740-743
    [92]Cooley J W and Tukey J W.An algorithm for the machine calculation of complex Fourier series.Math Computer,1965,19:297-301
    [93]Udo Ahlvers,Udo Zoelzer,Stefan Rechmeier.FFT-based disparity estimation for stereo image coding.Proceedings of the IEEE International Conference on Image,2003,1:14-17
    [94]Noll P.MPEG digital audio coding.IEEE Transactions on Signal Processing Magazine,1997,14(5):59-81
    [95]Maxwell K.Asymmetric Digital Subscriber Line:Interim technology for the next forty years.IEEE Transactions on Communications Magazine,1996,34(10):100-106
    [96]Choi Y H,Malek M.A fault-tolerant FFT processor.IEEE Transactions on Computers,1988,37(5):617-621
    [97]Li J F,Lu S K,Huang S Y,et al.Easily Testable and Fault-Tolerant FFT Butterfly Networks.IEEE Transactions on Circuits and Systems,2000,47(9):919-929
    [98]Lu Shyu-Kung,Yeh Chien-Hung.Easily Testable and Fault-Tolerant Design of FFT Butterfly Networks.Proceedings of the 11th IEEE Asian Test Symposium,2002(11):230-235
    [99]Lu Shyue-Kung,Yeh Chien-Hung,Lin Han-Wen.Efficient built-in self-test techniques for memory-based FFT processors.Proceedings of the 10~(th) IEEE Pacific Rim International Symposium,2004:321-326
    [100]Van L D,Tang C C,Tenqchen S,et al.A new VLSI architecture without global broadcast for 2-D systolic digital filters.Proceedings of IEEE International Symposium on Circuits System,Geneva,2000,1:547-550
    [101]Van L D.A New 2-D Systolic Digital Filter Architecture Without Global Broadcast.IEEE Transactions on Very Large Scale Integration Systems,2002,10(4):477-486
    [102]Kar H,Singh V.Stability analysis of 2-D digital filters with saturation arithmetic:an LMI approach.IEEE Transactions on Signal Processing,2005,53(6):2267-2271
    [103]Khoo I.-H,Reddy H C,Rajan P K.Symmetry Study for Delta-Operator-Based 2-D Digital Filters.IEEE Transactions on Circuits and Systems,2006,53(9):2036-2047
    [104]Livio Tenze,Sergio Carrato,Stefano Olivieri.Design and real-time implementation of a low-cost noise reduction system for video applications.IEEE Transactions on Signal Processing,2004,84(3):453-466
    [105]Takahashi Y,Takahara M,Makabe T.Adaptive filters:A review of techniques.British Telecom Technology Journal,1992,10(1):28-48
    [106]Su A W Y,Liang Sheng-Fu.A new automatic ⅡR analysis/synthesis technique for plucked-string instruments.IEEE Transactions on Speech and Audio Processing,2001,9(7):747-754
    [107]Mc Nally O C,Marnane W P,Mc Canny J V.Design and test of a bit parallel 2nd order ⅡR filter structure.Proceedings of IEEE International Conference on Acoustics,Speech,and Signal Processing,Toronto,1991,2:1189-1192
    [108]Marnane W P,Moore W P.A Computational Approach to Testing Regular Arrays,New York:Prentice Hall,1989,577-586
    [109]Vladimir I,Ponomaryov,Alexej B,et al.Adaptive digital filter implementation for image processing.Proceedings of the International Conference on Digital Signal Processings,1997,2:1019-1022
    [110]G(a|¨)nsler T,Gay S L,Sondhi M M,et al.Double-talk robust fast converging algorithms for network echo cancellation.IEEE Transactions on Speech Audio Processings,2000,8(6):656-663
    [111]Cai Z Q.Ringing artefact reduction using adaptive averaging filtering.Proceedings of IEEE International Symposium on Consumer Electronics,2004:156-159
    [112]Jiang Jie,Lv Bo,Guangjun Zhang.Blind image restoration algorithm based on adaptive decision feedback equalizer,Journal of Beijing University of Aeronautics and Astronautics,2006,32(11):1345-1348
    [113]Wolfgang Herbordt,Herbert Buchner,Satoshi Nakamura,et al.Multichannel Bin-Wise Robust Frequency-Domain Adaptive Filtering and Its Application to Adaptive Beamforming.IEEE Transactions on Audio,Speech,and Language Processing,2007,15(4):1340-1351
    [114]Long G,Ling F,Proakis J G.The LMS algorithm with delayed coefficient adaptation.IEEE Transactions on Acoustics,Speech,and Signal Processing,1989,37(9):1397-1405
    [115]Meyer M D,Agrawal D P.A high sampling rate delayed LMS filter architecture.IEEE Transactions on Circuits and Systems-Ⅱ:Analog and Digital Signal Processing,1993,40(11):727-729
    [116]Wang C L.Bit-serial VLSI implementation of delayed LMS adaptive FIR filters.IEEE Transactions on Signal Processing,1994,42(8):2169-2175
    [117]Lan-Da,Wu-Shiung F.An efficient systolic architecture for the DLMS adaptive filter and its applications.IEEE Transactions On Circuits and Systems-Ⅱ:Analog and Digital Signal Processing,2001,48(4):359-366
    [118]Kang Sung-Mo,Yusuf Leblebici.CMOS Digital Integrated Circuits:Analysis and Design.Third Edition,王志功,窦建华,北京:电子工业出版社,2005,205-261
    [119]Hsiao Shen-Fu,Jiang Ming-Roun and Yeh Jia-Sien.Design of high-speed low-power 3-2counter and 4-2 compressor for fast multipliers.IEE Electronics Letters,1998,34(4):341-343
    [120]戴明桢.数字信号处理的硬件实现.北京:航空工业出版社,1998,21-23
    [121]Ji-Xue Xiao,Guang-Ju Chen,Yong-Le Xie.Arithmetic Test Strategy for FFT Processor.Proceedings of 14~(th) IEEE Asian Test Symposium(ATS'05),Salt Lake City,2005:440-443
    [122]Blanton R D,Hayes J P.On the design of fast,easily testable ALU's.IEEE Transactions On Very Large Scale Integration Systems,2000,8(2):220-223
    [123]Zorian Y.Distributed BIST Control Scheme for Complex VLSI Devices.Proceedings of 12~(th)IEEE VLSI Test Symposium,1993:4-9
    [124]Girard P,Landrault C,Pravossoudovitch S,et al.Reducing Power Consumption during Test Application by Test Vector Ordering.Proceedings of the 1998 IEEE International Symposium on Circuits and Systems,1998,2:296-299
    [125]Girard P,Cuiller L,Landrault C,et al.Circuit Partitioning for Low Power BIST Design with Minimized Peak Power Consumption.Proceedings of 8~(th) Asia Test Symposium,1999:89-94
    [126]Patrick Girard,Christian Landrault,Serge Pravossoudovitch,et al.High Defect Coverage with Low-Power Test Sequences in a BIST Environment.IEEE Design & Test of Computers,2002,19(5):44-52
    [127]Nioclici N,Al-Hashimi B M.Testability trade-offs for BIST data path.Journal of Electronic Testing Theory and Applications,2004,20(2):169-179
    [128]李杰.低功耗内建自测试(BIST)设计技术的研究:[博士论文].南京:东南大学,2004
    [129]肖继学,陈光(礻禹),谢永乐.基于加法生成器的低功耗测试.仪器仪表学报,2007,28(5):792-797
    [130]Devadas S,Malik S.Tutorial:A Survey of Optimization Techniques Targeting Low Power.Proceedings of 32~(nd) Conference on Design Automation,1995:242-247
    [131]Al-Asaad H,Hayes J P,Murray B T.Scalable test generators for high-speed datapath circuits.Journal of Electronic Testing Theory and Applications,1998,12(1):111-125
    [132]李方慧,王飞,何佩琨.TMS320C600系列DSPs原理与应用.北京:电子工业出版社,2003,1-356
    [133]刘书明,罗勇江.DSP原理与应用设计.北京:电子工业出版社,2007,1-316

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700