交叉点单缓存的Crossbar交换结构调度算法及性能研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
互联网(Internet)的出现、个人计算机的普及和各类微、小型化计算装置的广泛应用,对人类社会产生了巨大的冲击,极大地改变了人们的工作和生活方式。互联网的广泛应用造成了网络应用种类和数量大大增加以及用户数量呈指数规律增长的局面,进而对大容量、高速、高效的通信子网中继设备的需求急剧增加:网络应用模式的变化(B/S与P2P并存)和多媒体化的趋势使网络应用的服务质量保障问题日益严峻;网络运行环境的恶化使互联网(通信子网、端系统和网络应用系统)面临各类安全性问题的挑战,移动终端与无线通信技术的广泛应用使移动访问成为网络访问的新型模式。所有这一切都不断地挑战有30多年历史的互联网技术,特别是网络体系结构,也呼唤着下一代大容量、高速、高效的交换、服务质量可保障的网络交换设备和高性能、安全可靠、易管理和高可用性的通信子网,呼唤着高性能的新型网络应用系统。
     本博士学位论文的研究工作以下一代互联网体系结构和技术研究为背景,以高性能交换技术为对象,研究网络节点的交换结构和交换技术。本项研究的重点是一类“输入及交叉点联合排队(Combined Input-Crosspoint Queued, CICQ)结构”,即在各交叉点设有单个信元长度缓存(对定长信元交换)或单个最大帧长缓存(对变长帧交换)的CICQ结构。CICQ是一种特殊的Crossbar交换结构,在保留Crossbar完全无阻塞的特性的基础上,它通过在交叉点(Cross Point)引入缓存,将输入和输出端口逻辑分离,从而缓解了传统基于输入排队的Crossbar结构中存在的输入和输出冲突问题,进而为引入高效、复杂度较低的分布式调度算法、改善交换结构的性能和交换服务质量保障提供有利的条件。随着大容量存储技术的发展和存储器价格的不断下降,CICQ结构越来越受到学界和业界的重视,与传统的Crossbar结构相比,它除了支持定长信元交换方式外,还能支持变长数据交换,为提供高性能的交换结构开辟了新的途径。
     本文反映的主要研究成果涵盖与CICQ结构相关的两类数据交换技术:经典的基于定长信元(例如64字节)交换技术和迄今为止研究尚不多的变长数据交换技术。
     在定长信元交换技术研究方面,作者首先提出了长队列优先的CICQ调度算法—LQP-RR (Long Queue Prioritized-Round Robin),以解决典型的LQF-RR (Longest Queue First-Round Robin)算法的输入端排序操作的计算复杂度较高(平均时间复杂度下界为O(N logN))、难于用硬件实现的问题。LQP-RR利用VOQ队列在实际调度过程中的局部变化特性来寻找较长的输入队列,在输入端进行一次比较即可达到目的,从而使计算复杂度简化为O(1),因而易于用硬件实现。此外,通过引入辅助轮询指针配合调度,可进一步保证调度算法的公平性。LQP-RR算法的上述特点为笔者进一步提出计算复杂度低、交换结构利用率高和交换时延短的新型交换算法——“混合优化的调度算法”(Hybrid Optimization Packet Scheduling, HOPS)奠定了基础。
     HOPS算法以每一时槽都有尽可能多的输出端口有信元可输出为策略,提高对输出端口的利用率,从而使CICQ的总吞吐率最大。为此,通过输入、输出调度策略联动,即输入调度算法向交叉节点输出的信元时,尽量保证输出调度可输出信元端口数最大。笔者用流体模型证明:对满足强大数定律的许可输入流,HOPS的总吞吐率趋近交换结构理论转发能力的100%。仿真结果还表明:HOPS调度算法在各种许可流量模型下都能稳定运行,而且在交换结构无加速比条件下,其时延性能相对现有的算法有明显的提高。因为HOPS算法计算复杂度与LQP-RR相同的,因此容易实现。
     本文有关变长数据交换技术的研究成果涉及变长直接交换和变长切分交换两类。在变长直接交换技术方面,本文提出一种基于单缓存CICQ交换结构的“两级流控变长帧调度”(Two-stage Flow-control for Variable-length Data, TFVD)算法。该算法除改进了现有CICQ变长数据直接交换算法的公平性外,还提高了交换吞吐率,缩短了时延。该算法的核心思想是在交换结构的两级调度过程中分别进行流量控制,并通过令牌配额(Token Quota)机制延迟长帧发送时间,直至积累足够配额位置,从而减小长帧对交换链路产生的影响。仿真结果表明该算法在保证调度公平性的同时,提高了交换系统的转发性能,在负载为98%的突发流量模型下,其时延比现有的变长帧直接交换算法MQF-RR、DRR和PP-VOQ分别缩短了11%,17%和25%。
     在变长切分交换技术研究方面,本文提出了一种“反馈式多帧变长切分”(Multi-frame Variable-length Segmentation with Feedback, MVSF)策略。该策略采用多帧合并切分以避免单帧定长切分常见的填充开销;为了避免了现有的切分策略因交叉点存储空间限制可能出现系统某路输入调度暂时停顿的问题,交叉点缓存的状态信息的反馈被用作动态调整切分单元的大小的依据。仿真结果表明:反馈式多帧变长切分策略使CICQ的变长切分交换,在交换时延和系统总吞吐率方面均优于已有的切分策略。
     服务质量保障机制是关系到下一代交换机/路由器性能的重要技术。本论文第五章对CICQ交换时延上下界进行了分析研究。应用于OQ结构中的WF2Q算法的性能接近通用处理器共享(Generalized Processor Sharing, GPS)模型的理想性能,笔者将WF2Q算法应用于交叉点单缓存的CICQ结构,同时作为输入调度和输出调度的算法,即WF2Q*-WF2Q。本文还导出了该算法在交叉点单缓存的CICQ结构变长数据交换的近似理想的GPS-GPS参考模型的“时延的上、下界表达式”,从而证明了采用该算法能够为CICQ提供有界时延的保证。
The emergence of the Internet popularity of personal computers, wide application of micro-computing devices, have imposed significant impact on human society and changed people's working and living style greatly. With proliferation of Internet application, types and numbers of application have been increased greatly and numbers of user have been continuous rising exponentially; consequently, demands for high-speed and high-efficiency relay systems with great-capacity are increasing rapidly. The fact that multimedia have been dominating the network traffic flows and changes in network application mode from B/S to co-existence of B/S and P2P, have imposed much difficulty for ensuring QoS. Deterioration of network operation environment has forced Subnetworks, End-system, and application systems facing severe security challenges. With wide application of wireless communication techniques and mobile devices, mobile access to Internet becomes more and more popular trend. All these problems have been challenging the Internet techniques with its more than30years'history, especially with its network architecture. As a result, people are calling for high-performance network devices, subnetworks, and new application systems.
     Backed up by the research on NGI (Next Generation Internet) architecture and technologies, the research work presented in this PhD thesis is devoted to high-performance switch fabric and switching techniques. An emphasis has been given to a particular CICQ (Combined Input Cross-point Queued, CICQ) switching structure, i.e. CICQ switch with a single buffer at cross-points, either equal to a cell length (for cell switching), or to the maximum frame length. CICQ can be seen as a special type of crossbar construct, which can ease the conflict between input and output logics as in input queuing crossbar enabled by buffers at cross-points to separate the input logic from output logic. As a result, it can pave the way for various distributed scheduling algorithms to improve the performance of switches and QoS provisioning. CICQ structure has drawn more and more attention from both academic and industrial communities with new progress in memory. Compared with traditional crossbar structure, CICQ has opened up a new way in supporting variable length frames apart from cell (fixed-length segments of a frame) switching, the traditional way for crossbar structure.
     The research results presented in this thesis involve two types switching, i.e. orthodox cell switching based on fixed length, say64bytes and variable-length data switching, which has not yet been fully explored up to date.
     With regard to cell switching, the author's Long Queue Prioritized-Round Robin algorithm (LQP-RR) has been attempted to reduce the computational complexity (lower boundary:O(N log N)) of LQF-RR (Longest Queue First-Round Robin)-a typical CICQ algorithm, and to enable easy implementation. During scheduling, LQP-RR algorithm finds the long virtual output queue (VOQ) at input by using local variation of VOQ lengths with one comparison-operation. Therefore, LQP-RR algorithm can easily be implemented in hardware since its computing complexity has been reduced to O (1). Furthermore, the fairness of the algorithm can be ensured with the help of an auxiliary pointer for RR-polling. All these advantages of LQP-RR have paved the way for author to develop a better algorithm called HOPS (Hybrid Optimization Packet Scheduling) with features of low computing complexity, high-utility of the switch fabric, and shorter switching delay.
     The algorithm of HOPS intends to maximize the total throughput of a switch with the policy that there will be a cell to be output in each timeslot for as many output ports as possible so as to increase the utility of output ports. To do so, input scheduling algorithm has to direct cells to crosspoints so as to enable for as many as output ports that there will be a cell to output. The author has proved with the fluid model that the throughput for HOPS can approach to100%of the theoretic switching capability for allowable traffic tallying with the law of the large number. Simulation results have also shown that the algorithm of HOPS works stably for all admissible traffic pattern and switching delay is evidently shorter than those of existing algorithms without need of speeding-up for switch fabric. Finally, implementation of the algorithm of HOPS is quite simple since its computation complexity is the same as that of LQP-RR.
     As for variable-length data switching, the research results of this thesis involve direct variable-length frame switching as well as segmented variable-length data switching. In respect of direct frame switching, this dissertation introduced an algorithm called TFVD (Two-stage Flow-control for Variable-length Data) for CICQ switching structure with single buffer of maximum frame length. Compared with existing algorithms for variable-length frame switching in CICQ, TFVD has improved the throughput and fairness and shortened the time-delay. This is done via two-stage flow control and a Token Quota mechanism, with which the scheduler postpones a frame without enough Quota to a later time until enough quota has been accumulated so as to prevent long frames from excessively using the switching capability. Simulation results have shown that TFVD algorithm can improve the switching performance as well as fairness, and with burst traffic of98%full load, its time-delay is11%,17%, and25%shorter than existing algorithms-MQF-RR、DRR and PP-VOQ respectively.
     Regarding segmented variable-length data switching, this dissertation proposes a "Multi-frame Variable-length Segmentation with Feedback"(MVSF) strategy. With this strategy, a segment can be formed of pieces from multi-frames to avoid padding costs in single-frame segmentation. Furthermore, free space information of buffers at crosspoints is fed back to input scheduler for dynamic tuning of segmentation to prevent from buffer-overflow otherwise no segment can be delivered to the buffer. Simulation results have shown that the throughput and switching delay with MVSF strategy are better than those of existing segmentation strategies.
     Then, segmentation strategy of variable length packet in CICQ switch fabric is studied in this dissertation and a feedback variable size multi-packet segmentation strategy is proposed for CICQ switches. The new strategy eliminate the padding overhead and improve the efficiency of segmentation by merging the contiguous packets together, at the same time, the new strategy can adjust the length of segmentation unit by using the status of crosspoint, to ensure the switch system can work-conserving. Simulation demonstrated that the proposed scheme exhibits better performance than existing strategies.
     QoS enabled mechanism is an important technique concerning the performance of next generation switches/routers. Chapter5deals with the issue of upper and lower boundaries of time-delay in CICQ switches. Considering that performance of WF2Q algorithm approximates that of the ideal Generalized Processor Sharing (GPS) model, the author has applied WF2Q both to input and output scheduling in single buffer CICQ, i.e. WF2Q*-WF2Q. As a result, a mathematic expression of time-delay upper and lower boundaries of the near-ideal GPS-GPS reference model for variable-length data switching in single buffer CICQ is derived and proves that with the WF2Q*-WF2Q algorithm,time-delay is limitary in such CICQ.
引文
[1]Royal Pingdom《2011年全球互联网产业发展状况报告》,2012年1月
    [2]中国互联网络信息中心(CNNIC)第29次中国互联网络发展状况统计报告.2012年1月
    [3]Cisco白皮书Cisco Visual Networking Index:2009-2014年预测和方法.2010年6月
    [4]曾华燊.现代网络通信技术.西南交通大学出版社.2004
    [5]Networking Technology and Systems(NeTS):Future Internet Design (FIND), NSF program solicitation,2007
    [6]GENI Planning Group. GENI Design Principles, Computer, Vol.39(9),2006, pp.102-105
    [7]M Haque, K Pawlikowski, S Ray. Challenges to development of multipurpose global federated testbed for Future Internet experimentation. 20119th IEEE/ACS International Conference on Computer Systems and Applications (AICCSA). New Zealand,2011. pp.289-292
    [8]IEEE 802.3 Ethernet Task Force. http://www.ieee802.org/3/ba/
    [9]J.C.Palais. Fiber optic communications.5th ed. Upper Saddle River, N.J, Pearson/Prentice Hall,2005
    [10]Ostar L. Sub-wavelength traffic on an optical network. Conference on Optical Fiber Communication.OFC 2009. pp.1-3
    [11]Masahiro Daikoku.Tetsuya Miyazaki, et al.160 Gb/s-Based Field Transmission Experiments Using Polarizer-Based PMD Compensator With Optical Power Monitor. Journal of lightwave technology, Vol.27(5),2009, pp.451-461
    [12]Cisco Carrier Routing System-3 overview. http://www.cisco.Com/web/TH/ assets/docs/seminar/nextgen_201009_crs3overview.pdf
    [13]http://www.juniper.net/cn/zh/products-services/routing/t-tx-series/t4000/
    [14]H.Jonathan Chao and Bin Liu. High Performance Switches and Routers. John Wiley & Son,Inc.publication,2007
    [15]H Lee, K Kook, C Rim, et al. A limited shared output buffer switch for ATM. Proceedings of the 4th Internet Conferenceon Data Communication System and their Performance, Barcelona, Spain, North Holland,1990. pp.163-179
    [16]K Oshima, H Yamanaka, H Saito, et al. A new ATM switch architecture based on STS-type shared buffering and its implementation. Proceedings of The Institute of Electronics, Information and Communication Engineers (IEICE'92), Yokohama, Japan. IEEE,1992. pp.359-363
    [17]K J Schultz and P G Gulak. CAM-based single-chip shared buffer ATM switch. Proceedings of IEEE International Conference on Communications. ICC'94, NewOrleans, Louisiana. IEEE,1994. pp.1190-1195
    [18]J Garcia-Haro and A Jajszczyk. ATM shared-memory switching architectures. IEEE Network,.1994, Vol.8(4), pp.18-26
    [19]A.Zaghloul, H.Perros, I.Viniotis. Performance of Bus Allocation Policies for an ATM Switch under Bursty Arrivals and Correlated Destinations. Prcceedings of Global Data Networking, Cairo, Egypt,1993. pp.37-42
    [20]A.Zaghloul, H.Perros. Performance analysis of a shared-medium ATM switch. IEEE Symposium on Computers and Communications, NC, USA, 1995. pp.16-22
    [21]I.Cidon, I.Gopal, G.Grover, and M.Sidi. Real Time Packet Switching:A Performance Analysis, IEEE Journal on Selected Areas in Communications. December 1988, Vol.6(9), pp.1576-1586,
    [22]Ahmed Mokhtar. Performance analysis of a shared-meduim ATM switch with Multicast Traffic. Proceeding of IEEE Global Telecommunications Conference, Globecom'99,5-9 December.1999, pp.1385-1390
    [23]L R Goke and G J Lipovski. Banyan networks for partitioning multiprocessor systems. the 1st Symposium on Computer Architecture. Gainesville, FL, USA. ACM,1973. pp.21-28
    [24]J S Turner. Design of a broadcast packet switching network. IEEE Transactions on Communications.1988, Vol.36(6), pp.734-743
    [25]F A Tobagi and T C Kwok. The tandem banyan switching fabric:a simple high-performance fast packet switch. Proceedings of International Conference on Computer Communications. INFOCOM'91. BalHarbour, Florida, IEEE Communications Society,1991. pp.1245-1253
    [26]F.A.Tobagi, T.Kwok, F.M.Chiussi. Architecture, performance, and implementation of the tandem banyan fast packet switch, IEEE Journal on Selected Areas in Communications.1991, Vol.9(8), pp.1173-1193
    [27]G. Corazza, C. Raffaelli. Performance evaluation of input-buffered replicated banyan networks. IEEE Transactions on Communications.1993, Vol.41(6), pp.841-845
    [28]R.Venkatesan. Performance analysis off multipath banyan networks. Proceeding of IEEE International Conference on Communications,1992. ICC'92. Chicago, Jun,1992, Vol.2, pp.912-916
    [29]W.Alimuddin, H.M.Alnuweiri, R.W.Donaldson. A unified design approach for dilated banyan switches. Proceeding of IEEE International Conference on Communications,1995. ICC '95. Seattle,'Gateway to Globalization', 1995 1995, Vol.2, pp.1132-1136
    [30]W.Alimuddin, H.M.Alnuweiri, R.W.Donaldson. The fat banyan ATM switch. Proceedings of International Conference on Computer Communications. INFOCOM '95. Fourteenth Annual Joint Conference of the IEEE Computer and Communications Societies. Bringing Information to People.1995, Vol.2, pp.659-666
    [31]A.Jajszczyk, Optimal Structures of Benes Switching Networks IEEE Transactions on Communications,1979, Vol.27(2),pp.433-437
    [32]D.Nassimi and S.Sahni. A Self-Routing Benes Network and Parallel Permutation Algorithms. IEEE Transactions on Computers,1981, Vol.C-30(5), pp.332-340
    [33]C Clos. A study of non-blocking switching networks. Bell System Technical Journal.1953, Vol.32(2), pp.406-424
    [34]A Jajszczyk. Nonblocking, repackable and rearrangeable clos networks: Fifty years of the theory evolution. IEEE Communications Magazine.2003, Vol.41 (10), pp.28-33
    [35]E Oki, Z Jing, R Rojas-Cessa, et al. Concurrent round-robin-based dispatching schemes for Clos-network switches. IEEE/ACM Transactions on Networking (ToN).2002, Vol.10(6), pp.830-844
    [36]C S Chang, D S Lee and C M Lien. Load balanced Birkhoff-von Neumann switches, part Ⅱ:multi-stage buffering. Computer Communications.2002, Vol.25(6), pp.623-634
    [37]I Keslassy and N McKeown. Maintaining packet order in two-stage switches. Proceedings of International Conference on Computer Communications. INFOCOM'02, NewYork, USA, IEEE Communications Society,2002, pp.1032-1041
    [38]C S Chang, D S Lee and Y S Jou. Load balanced Birkhoff-von Neumann switches, part I:One-stage buffering. Computer Communications.2002, Vol.25(6), pp.611-622
    [39]I Keslassy, S T Chuang, K Yu, et al. Scaling Internet Routers Using Optics. Proceedings of the 2003 conference on Applications, technologies, architectures, and protocols for computer communications SIGCOMM'03, Karlsruhe, Germany,2003. ACM,2003, pp.189-200
    [40]J Jaramillo, F Milan and R Srikant. Padded frames:a novel algorithm for stable scheduling in load-balanced switches. IEEE/ACM Transactions on Networking (ToN).2008, Vol.16(5), pp.1212-1225
    [41]C L Yu, C S Chang and D S Lee. CR switch:A load-balanced switch with contention and reservation. IEEE/ACM Transactions on Networking.2009, Vol.17(5), pp.1659-1671
    [42]C S Chang, D S Lee, Y J Shih, et al. Mailbox switch:A scalable two-stage switch architecture for conflict resolution of ordered packets. IEEE Transactions on Communications.2008, Vol.56(1), pp.136-149
    [43]陈锡生.ATM交换技术.人民邮电出版社.2000
    [44]A. Demers, S. Keshav, S. Shenker. Analysis and Simulation of a Fair Queueing Algorithm. Symposium proceedings on Communications architectures & protocols. ACM SIGCOMM. Aug.1989, Vol.19(4), pp.3-12
    [45]M. Shreedhar, George Varghese. Efficient fair queueing using deficit round-robin. IEEE/ACM Transactions on Networking (TON). June 1996, Vol.4 (3), pp.375-385
    [46]D. Pan, Y. Yang. Credit based fair scheduling for packet switched networks. Proceedings of IEEE International Conference on Computer Communications. INFOCOM '05, Miami, FL, March 2005, pp.843-854
    [47]Karol M, Hluchyj M, Morgan S. Input versus output queueing on a space division switch. IEEE Transactions on Communications.1988, Vol.35(12) pp.1347-1356
    [48]N.Mckeown. Scheduling Algorithms for Input-Queued Cell Switches. Ph.D.dissertation, University of California, Berkeley, CA. May 1995
    [49]M. G. Hluchyj and M. J. Karol. Queueing in high-performance packet switching. IEEE Journal on Selected Areas in Communications.1988, Vol. 6(9), pp.1587-1597
    [50]M. G. Hluchyj and A. Bhargava. Queueing disciplines for integrated fast packet networks. Proceeding of IEEE International Conference on Communications,1992. ICC'92, Discovering a New World of Communications.1992,Vol.2, pp.990-996
    [51]T. Zhu and C. Shixing. A new way to share buffer-grouped input queueing in ATM switching. Proceeding of IEEE Global Telecommunications Conference. GLOBECOM '94. Proceeding of Communications:The Global Bridge,1994, Vol.1, pp.475-479
    [52]Y. Tamir, G. Frazier. High performance multi-queue buffers for VLSI communication switches. In Proceeding of the International Symposium on Computer Architecture (ISCA), June 1988, pp.343-354
    [53]龚勋.图论与网络最优化算法.重庆大学出版社.2009
    [54]N. McKeown, A. Mekkittikul, V. Anantharam, and J. Walrand. Achieving 100% throughput in an input-queued switch. IEEE Transactions on Communications.1999, Vol.47(8), pp.1260-1267
    [55]N. McKeown. The iSLIP scheduling algorithm for input-queued switches. IEEE/ACM Transactions on Networking.1999,Vol.7(2), pp.188-201
    [56]Mekkittikui A, McKeown N. A starvation-free algorithm for achieving 100% throughput in input-queued switches. In:Lee D, ed. Proceedings of the IEEE International Conference on Computer Communications and Networks (ICCCN). Rockville, MA:IEEE Communications Society,1996, pp.226-231
    [57]Mekkittikui A, McKeown N. A practical scheduling algorithm to achieve 100% throughput in input-queued switches. In:Akyildiz I, ed. Proceedings of IEEE International Conference on Computer Communications INFOCOM'98. San Francisco, IEEE Communications Society,1998, pp.792-799
    [58]Hopcroft J E, Karp RM. An algorithm for maximum matching in bipartite graphs. SIAM Journal on Computing,1973, pp.225-231
    [59]E. Leonardi, M. Mellia, F. Neri, and M. A. Marsan. On the stability of input-queued switches with speed-up. IEEE/ACM Transactions on Networking.2001,Vol.9(1), pp.104-118
    [60]Anderson T, Owicki S, Saxes J, Thacker C. High speed switch scheduling for local area networks. ACM Transactions on Computer Systems, 1993,Vol.11(4),pp.319-352
    [61]N. McKeown, P. Varaiya, and J. Warland. Scheduling cells in an input-queued switch. IEEE Electronics Letters, Dec.1993, Vol.29(25), pp. 2174-2175
    [62]Nick McKeown. A Fast Switched Backplane for a Gigabit Switched Router. Business Comm. Rev., vol.27, no.12,1997.
    [63]Giaccone P, Prabhakar B, Shah D. Towards simple. high-performance schedulers for high-aggregate bandwidth switches. In:Kermani P, ed. Proceedings of IEEE International Conference on Computer Communications INFOCOM'02. New York, USA, IEEE Communications Society.2002, pp.1160-1169
    [64]L. Yihan, S. S. Panwar, and H. J. Chao. Exhaustive service matching algorithms for input queued switches. Proceedings of International Conference on High Performance Switching and Routing. HPSR. IEEE Communications Society.2004, pp.253-258
    [65]A. L. Gupta and N.D.Georganas. Analysis of a Packet Switch with Input and Output Buffers and Speed Constraints. Proceedings of IEEE International Conference on Computer Communications. INFCOM'91. Apr. 1991,Vol.2, pp.694-700
    [66]J.S.Chen and T.E.Stern. Throughput Analysis, Optimal Buffer Allocation, and Traffic Imbalance Study of a Generic Nonblocking Packet Switch. IEEE Journal on Selected Areas in communication. Apr.1991, Vol.9(3), pp.4439-449
    [67]B. Prabhakar and N. McKeown. On the speedup required for combined input and output queued switching. Automatic, Dec.1999, Vol.35(12), pp. 1909-1920
    [68]J.G. Dai and B. Prabhakar. The Throughput of Data Switches with and without Speedup. Proceeding of IEEE International Conference on Computer Communications INFOCOM'00, Mar.2000. Vol.2, pp.556-564,
    [69]D. Pan and Y. Yang. Pipelined Two Step Iterative Matching Algorithms for CIOQ Crossbar Switches. Proceeding of ACM/IEEE Symp. Architectures for Networking and Comm. Systems. ANCS'05, Oct.2005, pp.41-50
    [70]I. Stoica and H. Zhang. Exact Emulation of an Output Queueing Switch by a Combined Input Output Queueing Switch. Proceeding of Sixth IEEE/IFIP Int'l Workshop Quality of Service. IWQoS'98,1998, pp.218-224
    [71]S.-T. Chuang, A. Goel, N. McKeown, and B. Prabhkar. Matching Output Queueing with a Combined Input Output QueuedSwitch. Proceeding of IEEE International Conference on Computer Communications. INFOCOM'99,1999, pp.1169-1178
    [72]S. Nojima, E. Tsutio, H. Fukuda, and M. Hashimoto. Integrated Services Packet Network Using Bus Matrix Switch. IEEE Journal on Selected Areas in Communications, Oct.1987,Vol.5(8), pp.1284-1292
    [73]A.K. Gupta, L. Orozco Barbosa, and N.D.Georganas.16><16 Limited Intermediate Buffer Switch Module for ATM Networks. Proceeding of IEEE Global Communications Conference.Globecom 91, IEEE Press,1991, pp.939-943.
    [74]Y. Doi and N. Yamanaka. A High-Speed ATM Switch with Input and Cross-Point Buffers. IEICE Transactions on Communications. Vol.E76-B, no.3, Mar.1993, pp.310-314.
    [75]M. Nabeshima. Performance evaluation of combined input and crosspoint-queued switch. IEICE Transactions on Communications. March 2000, pp.742-745.
    [76]R. Rojas-Cessa, E. Oki, Z. Jing, and H. J. Chao. CIXB-1:combined input-one-cell-crosspoint buffered switch. Proceeding of IEEE Workshop on High Performance Switching and Routing, Dallas,Texas, May 2001,pp. 324-329
    [77]Rojas-Cessa, E. Oki, and H. J. Chao. CIXOB-k:Combined input-crosspoint-output buffered packet switch. Proceeding of IEEE Global Communications Conference. GLOBECOM'01, San Antonio, Texas, Nov. 2001, pp.2654-2660
    [78]T. Javidi, R. Magill, and T. Hrabik. A high-throughput scheduling algorithm for a buffered crossbar switch fabric. Proceeding of IEEE International Conference on Communications.2001, Vol.5, pp.1586-1591
    [79]L. Mhamdi and M. Hamdi. MCBF:a high-performance scheduling algorithm for buffered crossbar switches. IEEE Communications Letters, Sept.2003, Vol.7(9), pp.451-553
    [80]Deng Pan, Yang yuanyuan. Localized independent packet scheduling for buffered crossbar switches. IEEE Transactions on computers,2009, Vol.58(2), pp.260-274
    [81]周功业,李成俊(Tcbf):Cicq中一种复杂度低的高效算法.小型微型计算机系统,2007,Vol.28(6),PP.1144-1148
    [82]王晓亮,杨君刚,邱智亮,李然.一种无权重的高性能cicq结构调度算法.计算机工程.2006,Vol.32(15),PP.123-125
    [83]Magill B, Rohrs C, Stevenson R. Output-Queued switch emulation by fabrics with limited memory. IEEE Journal on Selected Areas in Communications,2003,Vol.21(4), pp.606-615
    [84]S.-T. Chuang, S. Iyer, and N. Mckeown. Practical algorithm for performance guarantees in buffered crossbars. Procedding of IEEE International Conference on Computer Communications. INFOCOM'05, Miami, Florida, Mar.2005, Vol.2, pp.981-991
    [85]J. Turner. Strong Performance Guarantees for Asynchronous Crossbar Schedulers. Procedding of IEEE International Conference on Computer Communications. INFOCOM'06, Apr.2006,pp.1-11
    [86]Deng Pan, Kia Makki, Niki Pissinou. Fair Queueing Based Packet Scheduling for Buffered Crossbar Switches. Proceeding of IEEE Global Communications Conference. GLOBECOM.2009:pp.1-6.
    [87]Masoumeh Karimi, Zhuo Sun, Deng Pan, Zhenyu Yang. Reducing Crosspoint Buffers for Performance Guaranteed Asynchronous Crossbar. Proceeding of IEEE Global Communications Conference. GLOBECOM'10. 2010. pp.1-5
    [88]K. Yoshigoe and K. J. Christensen. A Parallel-Polled Virtual Output Queued Switch with a Buffered Crossbar. Proceedings of International Conference on High Performance Switching and Routing. HPSR. IEEE Communications Society.2001, pp.271-275
    [89]王晓亮,杨君刚,邱智亮,李然.基于CICQ结构的变长分组交换调度算法研究.吉林大学学报(信息科学版).Vol.24(4),2006年7月,pp.358-363
    [90]兰立志,涂晓东,田永刚,王凯,刘亚社.Buffered Crossbar中的变长交换.电子科技大学学报.Vol36(5),2007年10月,PP.1110-1113
    [91]M. Katevenis, G. Passas.Variable-Size Multipacket Segments in Buffered Crossbar (CICQ) Architectures. Proceeding of IEEE International Conference on Communications. ICC2005, pp.999-1004
    [92]Lotfi Mhamdi. PBC:A Partially Buffered Crossbar Packet Switch. IEEE Transactions on Computers.2009, Vol.58(11),pp.1568-1581
    [93]P.Giaccone, E.Leonardi. Asymptotic performance limits of switches with buffered crossbars supporting multicast traffic. IEEE Transactions on Information Theory,2008, Vol.54(2), pp.595-607
    [94]王鹏,陈庶樵,扈红超.一种基于CICQ支持组播公平服务的调度策略.计算机应用研究.2011,Vol.28(10),pp.3845-3848
    [95]L.Mhamdi. On the Integration of Unicast and Multicast Cell Scheduling in Buffered Crossbar Switches. IEEE Transactions on Parallel and Distributed Systems,2009, Vol.20(6), pp.818-830
    [96]K.Yoshigoe. Threshold-based Exhaustive Round-Robin for the CICQ Switch with Virtual Crosspoint Queues. IEEE International Conference on Communications, ICC '07.2007, pp.6325-6329
    [97]K.Yoshigoe. The CICQ switch with virtual crosspoint queues for Large RTT. Proceedings of IEEE International Conference on Communications. ICC'06, June 2006, pp.299-303
    [98]R. Rojas-Cessa, Z. Dong, and Z.Guo. Load-balanced combined input-crosspoint buffered packet switch and long round-trip times. IEEE Communications Letters. July 2005,Vol.(4)7, pp.661-663
    [99]Cisco 12000 Gigabit Switch Router. http://www.cisco.com.
    [100]Next Generation Networks and the Cisco Carrier Routing System White Paper,http://www.Cisco.com/warp/public/cc/pd/rt/12000/clc/prodlit/reqng-wp.pdf,2004.
    [101]G. Nong, M. Hamdi and K. B. Letaief. Efficient Scheduling of Variable-Length IP Packets on High Speed Switches. Proceeding of IEEE Global Communications Conference. GLOBECOM'99.1999, pp. 1407-1411
    [102]Abhay K.Parekh, and Robert G.Gallager. A generalized processor sharing approach to flow control in integrated services networks:the single-node case. ACM/IEEE Transactions On Networking,1993,Vol.1(3), pp.344-357
    [103]M.Shreedhar, George Varghese. Efficient Fair Queuing using Deficit Round-Robin. IEEE/ACM Transaction s on Networking.1996, Vol.4(3), pp.375-385
    [104]Srinivas Vegesna. IP服务质量.信达工作室译.人民邮电出版社.2001
    [105]Agilent Technologies, JTC 003:Mixed Packet Size Throughput. The Journal of Internet Test Methodologies. Agilent Technologies,2007
    [106]Alteon Inc. White Paper. Extended Frame Sizes for next generation Ethemets
    [107]RFC 1990, K. Sklower, B. Lloyd, G. McGregor, D. Carr, T. Coradetti, The PPP Multilink Protocol (MP), August 1996.
    [108]http://www.cisco.com/en/US/docs/routers/crs/crs1/16_slot_lc/system_descri ption/reference/guide/sysdsc4.html
    [109]Peter Newman. ATM Technology for Corporate Networks. IEEE Communication Magazine. April 1992, Vol.30(4), pp.90-101
    [110]RFC 1483-Multiprotocol Encapsulation over ATM Adaptation Layer
    [111]H. Zhang. Service Disciplines for Guaranteed Performance Service in Packet Switching Networks. Proceedings of IEEE, October 1995, Vol.83(10), pp.1374-1396
    [112]R.Braden, L.Zhang, S.Berson.Resource ReserVation Protocol(RSVP), IETF RFC2205 1997.9
    [113]Marko C, Zoran. FPGA Implementation of IP Packet Segmentation and Reassembly in Internet Router. SERBIAN Journal of electrical engineering, 2009, Vol.6(3), pp.399-407
    [114]刘次华,万建平.概率论与数理统计.高等教育出版社.2002
    [115]盛友招.排队论在现代通信系统当中的应用.人民邮电出版社.2007
    [116]K. Kar, T.V. Lakshman, D. Stiliadis, and L. Tassiulas. Reduced Complexity Input Buffered Switches proc. HOT interconnects Ⅷ. Stanford University, Stanford, CA, August 2000
    [117]Christensen, K. J. Yoshigoe, K. Roginsky A.& Gunther N. J. Performance Evaluation of Packet-to-Cell Segmentation Schemes in Input Buffered Packet Switches. Proceeding of IEEE International conference on communications. ICC'04 Paris, France,20-24 June 2004, Vol.2 pp.1097-1102
    [118]R. Braden, D. Clark, S. Shenker, "Integrated Services in the Internet Architecture:an Overview", June 1994, IETF RFC 1633
    [119]K. Nichols, V. Jacobson, and L. Zhang. A two-bit differentiated services architecture for the Internet. IETF RFC 2683. Jul.1999.
    [120]S. Shenker, C. Partridge, R. Guerin. Specification of Guaranteed Quality of Service. RFC 2212, September 1997
    [121]J. Wroclawski. Specification of the Controlled-Load Network Element Service. RFC2211 Sep.1997
    [122]齐望东,彭来献,董明.WFQ不是PGPS吗?--对“一种新的基于GPS的分组公平调度器”一文的评注.电子学报.2003,Vol.31(12),pp.1889-1890
    [123]林闯,单志广,任丰原.计算机网络的服务质量(QoS).清华大学出版社.2004
    [124]Bennett J, Zhang Hui. WF2Q:Worst-case Fair Weighted Fair Queuing. Proceedings of IEEE International Conference on Computer Communications. INFOCOM'96. San Francisco, CA,1996, pp.120-128
    [125]Bennett J, Zhang Hui. Hierarchical Packet Fair Queuing Algorithms. IEEE Transaction on Networking,1997, Vol.5(5), pp.675-689
    [126]Golestani S. A Self-clocked Fair Queuing Scheme for Broadband Application. Proceedings of IEEE International Conference on Computer Communications. INFOCOM'94. Toronto, Canada,1994, pp.636-646
    [127]P.Goyal, H.M. Vin, H. Chen. Start-time Fair Queuing:A scheduling algorithm for integrated services. In Proceeding of the ACM-SIGCOMM96, Palo Alto, CA, August,1996, pp.157-168
    [128]L.Kleinrock. Queueing System Vol.2:Computer Applications. New York:Wiley,1976

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700