用于无线传感网络的逐次逼近型模数转换器研究与实现
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着CMOS工艺的快速发展,片上系统(System on Chip, SoC)设计逐渐成为集成电路设计的重要发展方向,单个芯片内可以集成大量的IP核如数字信号处理器、数据转换器、滤波器、存储器等。由于数字信号具有可靠性高、灵活、成本低等优点,数字信号处理已经逐步取代了传统的模拟信号处理。然而自然界的力、热、电、光、声、温度等物理信号都是模拟量,因此需要模数转换器(Analog to Digital Converter, ADC)将模拟信号转化成数字信号。
     ADC是无线传感网络中的重要模块之一。无线传感网络包含大量的无线传感节点,通常给这些节点更换电池较为困难,因此低功耗无线传感网络设计是一个重要的研究课题。在众多不同结构的ADC中,基于逐次逼近(Successive Approximation Register, SAR)型ADC因其具有结构简单、功耗较低、面积较小、与数字CMOS工艺兼容等优点而获得广泛的应用。本文的主要目标是设计一款能够工作于0.6V、超低功耗的10位1MS/s采样频率的SAR ADC。本文的主要贡献和创新点如下:
     1.SAR ADC中的主要模块包括数模转换器(DAC)、比较器和数字逻辑电路等。本文详细分析了这三部分电路在精度、速度和功耗这三方面的表现,并将其运用到SAR ADC的设计中。
     2.在DAC电路的设计中,由于电源电压较低,基于Vcm-based开关切换方式无法采用。本文采用了单调(monotonic)开关切换方式,因为其具有较低的功耗以及简单的数字逻辑。但随之带来的问题是转换过程中共模电压的变化,导致比较器的失调电压动态变化,产生积分非线性误差。传统的解决方式是在比较器中采用固定尾电流偏置,但是这种方式不适用于低电源电压的情况,因为电压余量有限。另一种方法是将比较器的电源电压提高1倍,但是功耗却大大增加。因此本文提出并发表了一种共模稳定(common mode stabilizer)电路结构,用于解决低电源电压下单调开关切换方式带来的共模电压下降的问题。仿真结果表明加入共模稳定电路后,静态和动态性能都有显著提高,同时测试结果也验证了这种方法的有效性。
     3.在比较器电路的设计中,本文采用了动态预放大再生比较器。通过预放大级降低比较器的失调电压和回馈噪声,进而提高比较器的精度。通过采用动态电路降低比较器的功耗。另外采用正反馈工作的再生锁存器来提高比较器的速度。
     4.在数字逻辑电路的设计中,本文采用了异步逻辑结构而非同步逻辑结构,降低功耗的同时提高了数字逻辑电路的速度。
     5.在低电源电压下开关管的设计中,采样开关管采用了自举(bootstrapping)技术,增大输入信号范围的同时,提高了采样开关管的线性度。但是自举技术需要消耗较大的功耗和面积,因此本文通过采用顶板采样技术,将需要采用自举技术的采样开关管个数减小为两个,大大降低了开关管部分的功耗。
     6.本文详细分析并计算了SAR ADC中每一个电路的功耗,同时总结了一套通用的SARADC设计方法。该方法可以根据精度和速度的要求计算出SAR ADC中每一个电路模块所需的功耗值,进而可以确定SAR ADC电路中管子的参数。
     基于TSMC0.13μmCMOS工艺设计并实现了一个0.6V10位1MS/s SAR ADC,芯片核心面积只有0.04mm2。本文对ADC的测试方法(主要包括静态测试和动态测试)进行了研究与分析后,对本文设计的SAR ADC进行了详细的测试。测试结果显示,SAR ADC在0.6V电源电压和Nyquist输入信号频率下具有51.25dB信号噪声失真比,在1MS/s采样频率下功耗仅为6.3μW,品质因数FOM(figure of merit)为21fJ/(conversion.Fs),静态特性的微分非线性和积分非线性误差分别为-0.91/+1.58LSB和-1.15/+1.99LSB,较好地满足了无线传感网络的应用要求。
With the fast development of CMOS technology, system on chip (SOC) design has become an important developing trend of the integrated circuit. A large number of IP cores have been integrated on a single chip, such as digital signal processor (DSP), data converter, filter, memory, et al. Digital signal processing is gradually taking the place of the traditional analog signal processing due to its high reliability, strong flexibility, and low cost. As physical signals such as force, heat, electricity, light, sound and temperature are all analog signals, analog-to-digital converter (ADC) is required which converts the analog signal into the digital signal.
     ADC is a fundamental block for wireless sensor networks (WSN). WSN contains multiple sensor nodes. In most cases, changing their batteries is impractical, therefore, low power design of the WSN has become an important research issue. Among different conversion topologies, Successive Approximation Register (SAR) ADC has been widely used for its simple structure, low power, small area and compatibility with standard digital CMOS technology. The main aim of this thesis is designing a low power10bit1MS/s SAR ADC which can work at0.6V supply voltage. The main contributions and innovation are as follows:
     1. The SAR ADC is mainly composed of digital to analog converter (DAC), comparator, and digital control logic, etc. In this thesis, a detailed analysis of the performance of these circuits in terms of accuracy, speed, and power consumption is presented, and then it is applied in the design of the SAR ADC.
     2. In the DAC, the Vcm-based switching schemes are not employed, due to the low supply voltage. In this thesis, monotonic switching scheme is employed in the DAC due to its power efficiency and simplified digital logic. While the main drawback of monotonic switching scheme is its large common mode shift and the associated comparator offset dynamic variation. The varying offset is the major source of integral nonlinearity (INL). To solve this problem, the conventional constant current biasing technique can't be applied to the dynamic comparator due to the limited headroom. Another method uses a supply-boost technique to increase the supply voltage of the comparator to2×VDD, which allows constant current biasing but significantly increased the power consumption. Common mode stabilizer (CMS) is proposed for the first time to address this issue in low-voltage design. Simulation results show that with the proposed common mode stabilizer applied, the performance of the static and dynamic is greatly improved. The effectiveness of this method is also verified through the measurement results.
     3. In the comparator, a preamplifier with dynamic latch is adopted in this thesis. The offset voltage and kickback noise can both be reduced with the preamplifier applied. Therefore, the accuracy of the comparator can be improved. In order to reduce the power consumption, dynamic circuit is used. Furthermore, the speed of the comparator can be increased by using the regenerative latch.
     4. In the digital logic circuit, the asynchronous logic is adopted for the low power and high speed.
     5. In the switches with low voltage supply, boostrapping technique is used in the sampling switch which can increase the range of the input signal and improve the linearity of the sampling switch. While the boostrapping technique consumes large area and power consumption, top plate sampling technique is employed so that the number of switches which should treat the input signal ranging from supply voltage to ground is reduced to two. The power consumption of the switches is greatly reduced.
     6. This thesis details and calculates the power consumption of every building block in the SAR ADC, and summarizes a general design method about SAR ADC. The power consumption can be calculated under the accuracy and the speed requirement. Therefore, the size of the transistors in the SAR ADC can be chosen.
     A10-bit1MS/s SAR ADC is implemented based on TSMC0.13μm CMOS technology. The core area is only0.04mm2. This thesis also discusses the test of ADC, which is including static and dynamic parameter test. The measurement results show that the proposed SAR ADC consumes6.3μW at1MS/s from0.6V supply, and achieves51.25dB SNDR at Nyquist frequency and FOM of21fJ/conversion-step. The measured peak DNL and INL are-0.91/+1.58LSB and-1.15/+1.99LSB, respectively. The proposed SAR ADC meets the requirements of the WSN.
引文
[1]P. M. Rainey, "Facsimile telegraph system," ed:Google Patents,1926.
    [2]A. Reeves, "USA Patent," ed:NO Patent 2,272,070,1942.
    [3]J. J. C. Schelleng, "CODE MODULATION COMMUNICATION," ed:Google Patents,1948.
    [4]W. A. Kester, The data conversion handbook:Access Online via Elsevier,2005.
    [5]R. Sears, "Electron beam deflection tube for pulse code modulation," Bell System Technical Journal, vol.27, pp.44-57,1948.
    [6]R. STAFFIN, "Signal Amplitude Quantizer," ed:Google Patents,1959.
    [7]T. C. Verster, "A Method to Increase the Accuracy of Fast-Serial-Parallel Analog-to-Digital Converters," Electronic Computers, IEEE Transactions on, vol. EC-13, pp.471-473,1964.
    [8]D. J. Kinniment, D. Aspinall, and D. B. G Edwards, "High-speed analogue digital convertor," Electrical Engineers, Proceedings of the Institution of, vol.113, pp.2061-2069,1966.
    [9]C. C. Cutler, "CODXR," ed:Google Patents,1960.
    [10]H. Inose, Y. Yasuda, and J. Murakami, "A telemetering system by code modulation-δ-σmodulation," Space Electronics and Telemetry, IRE Transactions on, pp.204-209, 1962.
    [11]A. P. Brokaw, "A monolithic 10-bit A/D using I/sup 2/L and LWT thin-film resistors," Solid-State Circuits, IEEE Journal of, vol.13, pp.736-745,1978.
    [12]W. C. Black, Jr. and D. Hodges, "Time interleaved converter arrays," Solid-State Circuits, IEEE Journal of, vol.15, pp.1022-1029,1980.
    [13]B. Murmann, "ADC Performance Survey 1997-2013, http://www.stanford.edu/~murmann/ adcsurvey.html."
    [14]I. Dedic, "56Gs/s ADC:Enabling 100GbE," in Optical Fiber Communication (OFC), collocated National Fiber Optic Engineers Conference,2010 Conference on (OFC/NFOEC),2010, pp.1-3.
    [15]R. Shimon, B. Asay, D. Dascher, K. Griggs, C. Rehorn, M. Adamski, et al., "InP IC Technology Powers Agilent's Infiniium 90000 X-Series Real Time Oscilloscope," in Compound Semiconductor Integrated Circuit Symposium (CSICS),2010 IEEE,2010, pp.1-4.
    [16]H.-J. Yoo, Bio-Medical CMOS ICs,[M] Springer,2011.
    [17]D. Zhang, A. Bhide, and A. Alvandpour, "A 53-nW 9.1-ENOB 1-kS/s SAR ADC in 0.13-μm CMOS for Medical Implant Devices," Solid-State Circuits, IEEE Journal of, vol.47, pp. 1585-1593, Jul 2012.
    [18]R. Sekimoto, A. Shikata, K. Yoshioka, T. Kuroda, and H. Ishikuro, "A 0.5-V 5.2-fJ/Conversion-Step Full Asynchronous SAR ADC With Leakage Power Reduction Down to 650 pW by Boosted Self-Power Gating in 40-nm CMOS," Solid-State Circuits, IEEE Journal of, vol. PP, pp.1-9,2013.
    [19]S. Rajput and S. Jamuar, "Low voltage analog circuit design techniques," Circuits and Systems Magazine, IEEE, vol.2, pp.24-42,2002.
    [20]M. Yip and A. P. Chandrakasan, "A Resolution-Reconfigurable 5-to-10-Bit 0.4-to-1 V Power Scalable SAR ADC for Sensor Applications," Solid-State Circuits, IEEE Journal of, vol.48, pp. 1453-1464,2013.
    [21]P. Harpe, G. Dolmans, K. Philips, and H. de Groot, "A 0.7V 7-to-10bit 0-to-2MS/s flexible SAR ADC for ultra low-power wireless sensor nodes," in ESSCIRC (ESSCIRC),2012 Proceedings of the,2012, pp.373-376.
    [22]M. Yip, J. L. Bohorquez, and A. P. Chandrakasan, "A 0.6V 2.9 μW mixed-signal front-end for ECG monitoring," in VLSI Circuits (VLSIC),2012 Symposium on,2012, pp.66-67.
    [23]R. E. Suarez, P. R. Gray, and D. Hodges, "All-MOS charge-redistribution analog-to-digital conversion techniques. II," Solid-State Circuits, IEEE Journal of, vol.10, pp.379-385,1975.
    [24]B. Fotouhi and D. Hodges, "High-resolution A/D conversion in MOS/LSI," Solid-State Circuits, IEEE Journal of, vol.14, pp.920-926,1979.
    [25]S. Haenzsche, S. Henker, R. Schuffny, T. Reichel, and M. Garzarolli, "A 14 bit self-calibrating charge redistribution SAR ADC," in Circuits and Systems (ISCAS),2012 IEEE International Symposium on,2012, pp.1038-1041.
    [26]L. Sun, P. Kong-Pang, and A. Wong, "Analysis and Design of a 14-bit SAR ADC using self-calibration DAC," in Circuits and Systems (ISCAS),2012 IEEE International Symposium on, 2012, pp.1267-1270.
    [27]C. Yanfei, Z. Xiaolei, H. Tamura, M. Kibune, Y. Tomita, T. Hamada, et al., "Split capacitor DAC mismatch calibration in successive approximation ADC," in Custom Integrated Circuits Conference,2009. CICC'09. IEEE,2009, pp.279-282.
    [28]J. A. McNeill, C. Ka Yan, M. C. W. Coln, C. L. David, and C. Brenneman, "All-Digital Background Calibration of a Successive Approximation ADC Using the Split ADC Architecture," Circuits and Systems I:Regular Papers, IEEE Transactions on, vol.58, pp.2355-2365,2011.
    [29]J. Y. Um, Y. J. Kim, E. W. Song, J. Y. Sim, and H. J. Park, "A Digital-Domain Calibration of Split-Capacitor DAC for a Differential SAR ADC Without Additional Analog Circuits," Circuits and Systems I:Regular Papers, IEEE Transactions on, vol. PP, pp.1-12,2013.
    [30]U. Ji-Yong, K. Jae-Hwan, S. Jae-Yoon, and P. Hong-June, "Digital-domain calibration of split-capacitor DAC with no extra calibration DAC for a differential-type SAR ADC," in Solid State Circuits Conference (A-SSCC),2011 IEEE Asian,2011, pp.77-80.
    [31]C. P. Hurrell, C. Lyden, D. Laing, D. Hummerston, and M. Vickery, "An 18b 12.5MHz ADC with 93dB SNR," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2010 IEEE International,2010, pp.378-379.
    [32]C. C. Lee and M. P. Flynn, "A SAR-Assisted Two-Stage Pipeline ADC," Solid-State Circuits, IEEE Journal of, vol.46, pp.859-869,2011.
    [33]Q. Shan, L. Guang-Jun, and L. Qiang, "A fast-convergence and robust digital calibration algorithm for a 14-bit 200-MS/s hybrid pipelined-SAR ADC," in Circuits and Systems (MWSCAS).2012 IEEE 55th International Midwest Symposium on,2012, pp.442-445.
    [34]L. Ho-Young, L. Bumha, and M. Un-Ku, "A 31.3fJ/conversion-step 70.4dB SNDR 30MS/s 1.2V two-step pipelined ADC in 0.13um CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC).2012 IEEE International,2012, pp.474-476.
    [35]J. Fredenburg and M. Flynn, "A 90MS/S 11MHz bandwidth 62dB SNDR noise-shaping SAR ADC," in Solid-Slate Circuits Conference Digest of Technical Papers (ISSCC),2012 IEEE International,2012, pp.468-470.
    [36]O. Taehwan, N. Maghari, and M. Un-Ku, "A 5MHz BW 70.7dB SNDR noise-shaped two-step quantizer based delta sigma ADC," in VLSI Circuits (VLSIC),2012 Symposium on,2012, pp. 162-163.
    [37]C. H. Chen, Y. Zhang, J. L. Ceballos, and G. C. Temes, "Noise-shaping SAR ADC using three capacitors," Electronics Letters, vol.49, pp.182-184,2013.
    [38]V. Giannini, P. Nuzzo, V. Chironi, A. Baschirotto, G.Van der Plas, and J. Craninckx, "An 820μW 9b 40MS/s Noise-Tolerant Dynamic-SAR ADC in 90nm Digital CMOS," in Solid-State Circuits Conference,2008. ISSCC 2008. Digest of Technical Papers. IEEE International,2008, pp. 238-610.
    [39]S. W. M. Chen and R. W. Brodersen, "A 6-bit 600-MS/s 5.3-mW Asynchronous ADC in 0.13-μm CMOS," Solid-State Circuits, IEEE Journal of, vol.41, pp.2669-2680,2006.
    [40]P. J. A. Harpe, C. Zhou, Y. Bi, N. P. van der Meijs, X. Y. Wang, K. Philips, et al, "A 26uW 8 bit 10 MS/s Asynchronous SAR ADC for Low Energy Radios," Solid-State Circuits, IEEE Journal of, vol.46, pp.1585-1595, Jul 2011.
    [41]C. Yuan and Y. Y. H. Lam, "A 281-nW 43.3 fJ/conversion-step 8-ENOB 25-kS/s asynchronous SAR ADC in 65nm CMOS for biomedical applications," in Circuits and Systems (ISCAS),2013 IEEE International Symposium on,2013, pp.622-625.
    [42]L. Kull, T. Toifl, M. Schmatz, P. A. Francese, C. Menolfi, M. Braendli, et al., "A 3.1mW 8b 1.2GS/s single-channel asynchronous SAR ADC with alternate comparators for enhanced speed in 32nm digital SOI CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2013 IEEE International,2013, pp.468-469.
    [43]J. Tao, L. Wing, F. Y. Zhong, C. Zhong, H. Kangmin, and P. Y. Chiang, "A Single-Channel, 1.25-GS/s,6-bit,6.08-mW Asynchronous Successive-Approximation ADC With Improved Feedback Delay in 40-nm CMOS," Solid-State Circuits, IEEE Journal of, vol.47, pp.2444-2453, 2012.
    [44]R. Sekimoto, A. Shikata, K. Yoshioka, T. Kuroda, and H. Ishikuro, "A 40nm CMOS full asynchronous nano-watt SAR ADC with 98% leakage power reduction by boosted self power gating," in Solid State Circuits Conference (A-SSCC),2012 IEEE Asian,2012, pp.161-164.
    [45]H. Y. Huang, J. Y. Lin, C. C. Hsieh, W. H. Chang, H. H. Tsai, and C. F. Chiu, "A 9.2b 47fJ/Conversion-Step Asynchronous SAR ADC with Input Range Prediction DAC Switching," Circuits and Systems (ISCAS),2012 IEEE International Symposium on, pp.2353-2356,2012.
    [46]T. Jen-Huan, C. Yen-Ju, S. Meng-Hung, and H. Po-Chiun, "A 1-V,8b,40MS/s, charge-recycling SAR ADC with a 14μW asynchronous controller," in VLSI Circuits (VLSIC), 2011 Symposium on,2011, pp.264-265.
    [47]F. Kuttner, "A 1.2V 10b 20MSample/s non-binary successive approximation ADC in 0.13μm CMOS," in Solid-State Circuits Conference,2002. Digest of Technical Papers. ISSCC.2002 IEEE International,2002, pp.176-177 vol.1.
    [48]L. Chun-Cheng, C. Soon-Jyh, H. Guan-Ying, L. Ying-Zu, H. Chung-Ming, H. Chih-Hao, et al., "A 10b 100MS/s 1.13mW SAR ADC with binary-scaled error compensation," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2010 IEEE International,2010, pp.386-387.
    [49]B. Verbruggen, M. Iriguchi, and J. Craninckx, "A 1.7 mW 11b 250 MS/s 2-Times Interleaved Fully Dynamic Pipelined SAR ADC in 40 nm Digital CMOS," Solid-State Circuits, IEEE Journal of, vol.47, pp.2880-2887,2012.
    [50]G. Van der Plas and B. Verbruggen, "A 150 MS/s 133μW 7 bit ADC in 90 nm Digital CMOS," Solid-State Circuits, IEEE Journal of,vol.43, pp.2631-2640,2008.
    [51]T. Anand, V. Chaturvedi, and B. Amrutur, "Energy efficient asymmetric binary search switching technique for SAR ADC," Electronics Letters, vol.46, pp.1487-1488,2010.
    [52]A. Mesgarani and S. U. Ay, "A single channel 6-bit 900MS/s 2-bits per stage asynchronous binary search ADC," in Circuits and Systems (MWSCAS),2011 IEEE 54th International Midwest Symposium on,2011, pp.1-4.
    [53]A. Mesgarani and S. U. Ay, "A 6-Bit 1GS/s asynchronous binary search ADC with 2 bit flash quantizers," in Circuits and Systems (MWSCAS),2012 IEEE 55th International Midwest Symposium on,2012, pp.1008-1011.
    [54]T. Rabuske, F. Rabuske, J. Fernandes, and C. Rodrigues, "A 5-bit 1.5GSps calibration-less binary search ADC using threshold reconfigurable comparators," in Circuits and Systems (ISCAS),2013 IEEE International Symposium on,2013, pp.365-368.
    [55]L. Ying-Zu, C. Soon-Jyh, L. Yen-Ting, L. Chun-Cheng, and H. Guang-Ying, "A 5b 800MS/s 2mW asynchronous binary-search ADC in 65nm CMOS," in Solid-State Circuits Conference-Digest of Technical Papers,2009. ISSCC 2009. IEEE International,2009, pp.80-81,81a.
    [56]L. Ying-Zu, C. Soon-Jyh, L. Yen-Ting, L. Chun-Cheng, and H. Guan-Ying, "An Asynchronous Binary-Search ADC Architecture With a Reduced Comparator Count," Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.57, pp.1829-1837,2010.
    [57]W. Si-Seng, U. F. Chio, C. Chi-Hang, C. Hou-Lon, S. Sai-Weng, U. Seng-Pan, et al., "A 4.8-bit ENOB 5-bit 500MS/s binary-search ADC with minimized number of comparators," in Solid State Circuits Conference (A-SSCC),2011 IEEE Asian,2011, pp.73-76.
    [58]W. Si-Seng, U. F. Chio, Z. Yan, S. Sai-Weng, U. Seng-Pan, and R. P. Martins, "A 2.3 mW 10-bit 170 MS/s Two-Step Binary-Search Assisted Time-Interleaved SAR ADC," Solid-State Circuits, IEEE Journal of, vol.48, pp.1783-1794,2013.
    [59]B. Verbruggen, J. Craninckx, M. Kuijk, P. Wambacq, and G Van der Plas, "A 2.6mW 6b 2.2GS/s 4-times interleaved fully dynamic pipelined ADC in 40nm digital CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2010 IEEE International,2010, pp.296-297.
    [60]B. P. Ginsburg and A. P. Chandrakasan, "Highly Interleaved 5b 250MS/s ADC with Redundant Channels in 65nm CMOS," in Solid-State Circuits Conference,2008. ISSCC 2008. Digest of Technical Papers. IEEE International,2008, pp.240-610.
    [61]P. J. A. Harpe, B. Busze, K. Philips, and H. de Groot, "A 0.47-1.6 mW 5-bit 0.5-1 GS/s Time-Interleaved SAR ADC for Low-Power UWB Radios," Solid-State Circuits, IEEE Journal of, vol.47, pp.1594-1602, Jul 2012.
    [62]E. Alpman, H. Lakdawala, L. R. Carley, and K. Soumyanath, "A 1.1V 50mW 2.5GS/s 7b Time-Interleaved C-2C SAR ADC in 45nm LP digital CMOS," in Solid-State Circuits Conference-Digest of Technical Papers,2009. ISSCC 2009. IEEE International,2009, pp.76-77,77a.
    [63]K. Doris, E. Janssen, C. Nani, A. Zanikopoulos, and G Van Der Weide, "A 480mW 2.6GS/s 10b 65nm CMOS time-interleaved ADC with 48.5dB SNDR up to Nyquist," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2011 IEEE International,2011, pp.180-182.
    [64]H. Hyeok-Ki, K. Hyun-Wook, B. Sung, L. Choong-Hoon, M. Choi, P. Ho-Jin, et al, "An 8.6 ENOB 900MS/s time-interleaved 2b/cycle SAR ADC with a lb/cycle reconfiguration for resolution enhancement," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC), 2013 IEEE International,2013, pp.470-471.
    [65]E. Janssen, K. Doris, A. Zanikopoulos, A. Murroni, G. van der Weide, L. Yu, et al., "An 11b 3.6GS/s time-interleaved SAR ADC in 65nm CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC).2013 IEEE International,2013, pp.464-465.
    [66]Y. D. Jeon, J. W. Nam, K. D. Kim, T. M. Roh, and J. K. Kwon, "A Dual-Channel Pipelined ADC With Sub-ADC Based on Flash-SAR Architecture," Circuits and Systems II-Express Briefs, IEEE Transactions on, vol.59, pp.741-745, Nov 2012.
    [67]L. Ying-Zu, L. Chun-Cheng, H. Guan-Ying, S. Ya-Ting, L. Yen-Ting, and C. Soon-Jyh, "A 9-Bit 150-MS/s Subrange ADC Based on SAR Architecture in 90-nm CMOS," Circuits and Systems I: Regular Papers, IEEE Transactions on, vol.60, pp.570-581,2013.
    [68]C. Zhiheng, Y. Shouli, and L. Yunchu, "A 32mW 1.25GS/s 6b 2b/step SAR ADC in 0.13μm CMOS," in Solid-State Circuits Conference,2008. ISSCC 2008. Digest of Technical Papers. IEEE International,2008, pp.542-634.
    [69]B. P. Ginsburg and A. P. Chandrakasan, "500-MS/s 5-bit ADC in 65-nm CMOS With Split Capacitor Array D AC," Solid-State Circuits, IEEE Journal of, vol.42, pp.739-747,2007.
    [70]L. Chun-Cheng, C. Soon-Jyh, H. Guan-Ying, and L. Ying-Zu, "A 10-bit 50-MS/s SAR ADC With a Monotonic Capacitor Switching Procedure," Solid-State Circuits, IEEE Journal of, vol.45, pp. 731-740,2010.
    [71]Z. Yan, C. Chi-Hang, U. F. Chio, S. Sai-Weng, U. Seng-Pan, R. P. Martins, el al, "A 10-bit 100-MS/s Reference-Free SAR ADC in 90 nm CMOS," Solid-State Circuits, IEEE Journal of, vol. 45, pp.1111-1121,2010.
    [72]Y. F. Chen, S. Tsukamoto, and T. Kuroda, "A 9-bit 100-MS/s 1.46-mW Tri-Level SAR ADC in 65 nm CMOS," IEICE Transactions on Fundamentals of Electronics Communications and Computer Sciences, vol. E93a, pp.2600-2608, Dec 2010.
    [73]J. Craninckx and G. Van der Plas, "A 650/Conversion-Step 0-to-50MS/s 0-to-0.7mW 9b Charge-Sharing SAR ADC in 90nm Digital CMOS," in Solid-State Circuits Conference,2007. ISSCC 2007. Digest of Technical Papers. IEEE International,2007, pp.246-600.
    [74]A. Shikata, R. Sekimoto, T. Kuroda, and H. Ishikuro, "A 0.5V 1.1MS/sec 6.30/conversion-step SAR-ADC with tri-level comparator in 40nm CMOS," in VLSI Circuits (VLSIC),2011 Symposium on,2011, pp.262-263.
    [75]Z. Xiong and L. Qiang, "A 160mV 670nW 8-bit SAR ADC in 0.13μm CMOS," in Custom Integrated Circuits Conference (CICC),2012 IEEE,2012, pp.1-4.
    [76]F. Maloberti, Data converters[M]. Springer,2007.
    [77]秦琳,”基于终端电容复用开关策略的11位逐次逼近型ADC的研究与设计,”硕士,浙江大学,2012.
    [78]M. J. Pelgrom, Analog-to-digital Conversion[M]. Springer,2010.
    [79]M. Furuta, M. Nozawa, and T. Itakura, "A 0.06mm2 8.9b ENOB 40MS/s pipelined SAR ADC in 65nm CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2010 IEEE International,2010, pp.382-383.
    [80]J. Young-Deuk, C. Young-Kyun, N. Jae-Won, K. Kwi-Dong, L. Woo-Yol, H. Kuk-Tae, et al, "A 9.15mW 0.22mm2 10b 204MS/s pipelined SAR ADC in 65nm CMOS," in Custom Integrated Circuits Conference (CICC),2010 IEEE,2010, pp.1-4.
    [81]W. Rui, U. F. Chio, S. Sai-Weng, U. Seng-Pan, W. Zhihua, and R. P. Martins, "A 12-bit 110MS/s 4-stagc single-opamp pipelined SAR ADC with ratio-based GEC technique," in ESSCIRC (ESSCIRC),2012 Proceedings of the,2012, pp.265-268.
    [82]B. Verbruggen, M. Iriguchi, and J. Craninckx, "A 1.7mW 11b 250MS/s 2×interleaved fully dynamic pipelined SAR ADC in 40nm digital CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2012 IEEE International,2012, pp.466-468.
    [83]Z. Yan, C. Chi-Hang, S. Sai-Weng, U. Seng-Pan, and R. P. Martins, "A 34fJ 10b 500 MS/s partial-interleaving pipelined SAR ADC," in VLSI Circuits (VLSIC),2012 Symposium on,2012, pp.90-91.
    [84]L. Jiaming, Y. Wenhuan, and G. C. Temes, "Energy-efficient time-interleaved and pipelined SAR ADCs," in Circuits and Systems (ISCAS), Proceedings of 2010 IEEE International Symposium on, 2010, pp.1452-1455.
    [85]沈志远,”6比特超高速全并行模数转换集成电路设计,”硕士,东南大学,2006.
    [86]P. Schvan, J. Bach, C. Fait, P. Flemke, R. Gibbins, Y. Greshishchev, et al., "A 24GS/s 6b ADC in 90nm CMOS," in Solid-State Circuits Conference,2008. ISSCC 2008. Digest of Technical Papers. IEEE International,2008, pp.544-634.
    [87]S. M. Jamal, D. Fu, M. P. Singh, P. J. Hurst, and S. H. Lewis, "Calibration of sample-time error in a two-channel time-interleaved analog-to-digital converter," Circuits and Systems I:Regular Papers, IEEE Transactions on, vol.51, pp.130-139,2004.
    [88]B. Razavi,陈贵灿.程军等,模拟CMOS集成电路设计:Design of analog CMOS integrated circuits [M]西安:西安交通大学出版社,2003.
    [89]叶凡,”多通道时间交织模数转换器的校正与集成电路实现方法研究,”博士,复旦大学,2010.
    [90]X. Jiang, "A 2GS/s 6-bit A/D converter in 0.18-nm CMOS," 3078095 Ph.D., University of California, Los Angeles, Ann Arbor,2002.
    [91]M. J. M. Pelgrom, A. C. J. Duinmaijer, and A. P. G. Welbers, "Matching properties of MOS transistors," Solid-State Circuits, IEEE Journal of, vol.24, pp.1433-1439,1989.
    [92]K. Bult, "Analog design in deep sub-micron CMOS," in Solid-State Circuits Conference,2000. ESSCIRC'00. Proceedings of the 26rd European,2000, pp.126-132.
    [93]T. Mizuno, J. Okumtura, and A. Toriumi, "Experimental study of threshold voltage fluctuation due to statistical variation of channel dopant number in MOSFET's," Electron Devices, IEEE Transactions on, vol.41, pp.2216-2221,1994.
    [94]R. H. Walden, "Analog-to-digital converter survey and analysis," Selected Areas in Communications, IEEE Journal on, vol.17, pp.539-550,1999.
    [95]G Manganaro, Advanced data converters[M]. Cambridge University Press,2012.
    [96]P. Harpe, E. Cantatore, and A. van Roermund, "A 2.2/2.7fJ/conversion-step 10/12b 40kS/s SAR ADC with Data-Driven Noise Reduction," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2013 IEEE International,2013, pp.270-271.
    [97]R. Schreier, G. C. Temes, and J. Wiley, Understanding delta-sigma data converters vol.74:IEEE press Piscataway, NJ,2005.
    [98]L. Chang-Yuan and H. Chih-Cheng, "A 2.4-to-5.2fJ/conversion-step 10b 0.5-to-4MS/s SAR ADC with charge-average switching DAC in 90nm CMOS," in Solid-State Circuits Conference Digest of Technical Papers (ISSCC),2013 IEEE International,2013, pp.280-281.
    [99]J. Sauerbrey, D. Schmitt-Landsiedel, and R. Thewes, "A 0.5-V 1-μW successive approximation ADC," Solid-State Circuits, IEEE Journal of, vol.38, pp.1261-1265,2003.
    [100]R. Behzad. Design of analog CMOS integrated circuits[J]. International Edition,2001.
    [101]A. M. Abo and P. R. Gray, "A 1.5-V,10-bit,14.3-MS/s CMOS pipeline analog-to-digital converter," Solid-State Circuits, IEEE Journal of, vol.34, pp.599-606,1999.
    [102]M. Dessouky and A. Kaiser, "Input switch configuration suitable for rail-to-rail operation of switched op amp circuits," Electronics Letters, vol.35, pp.8-10,1999.
    [103]R. Sekimoto, A. Shikata, and H. Ishikuro, "A power scalable SAR-ADC in 0.18μm-CMOS with 0.5V nano-watt operation," in Access Spaces (ISAS),2011 1st International Symposium on,2011, pp.89-94.
    [104]Y. Tsividis, P. R. Gray, D. Hodges, and J. Chacko, "A segmented/spl mu/-255 law PCM voice encoder utilizing NMOS technology," Solid-State Circuits, IEEE Journal of, vol.11, pp.740-747, 1976.
    [105]K. B. Ohri and M. J. Callahan, "Integrated PCM codec," Solid-State Circuits, IEEE Journal of, vol.14, pp.38-46,1979.
    [106]A. Agnes, E. Bonizzoni, P. Malcovati, and F. Maloberti, "A 9.4-ENOB 1V 3.8μW 100kS/s SAR ADC with Time-Domain Comparator," in Solid-State Circuits Conference,2008. ISSCC 2008. Digest of Technical Papers. IEEE International,2008, pp.246-610.
    [107]T. Wakimoto, H. Li, and K. Murase, "Statistical analysis on the effect of capacitance mismatch in a high-resolution successive-approximation ADC," IEEJ Transactions on Electrical and Electronic Engineering, vol.6, pp. S89-S93,2011.
    [108]R. Aparicio and A. Hajimiri, "Capacity limits and matching properties of integrated capacitors," Solid-State Circuits, IEEE Journal of, vol.37, pp.384-393,2002.
    [109]S. H. Cho, C. K. Lee, J. K. Kwon, and S. T. Ryu, "A 550-μW 10-b 40-MS/s SAR ADC With Multistep Addition-Only Digital Error Correction," Solid-State Circuits, IEEE Journal of, vol.46, pp.1881-1892, Aug 2011.
    [110]B. P. Ginsburg and A. P. Chandrakasan, "An energy-efficient charge recycling approach for a SAR converter with capacitive DAC," in Circuits and Systems,2005. ISCAS 2005. IEEE International Symposium on,2005, pp.184-187 Vol.1.
    [111]C. You-Kuang, W. Chao-Shiun, and W. Chorng-Kuang, "A 8-bit 500-KS/s low power SAR ADC for bio-medical applications," in Solid-State Circuits Conference,2007. ASSCC. IEEE Asian,2007, pp.228-231.
    [112]J. Guerber, H. Venkatram, O. Taehwan, and M. Un-Ku, "Enhanced SAR ADC energy efficiency from the early reset merged capacitor switching algorithm," in Circuits and Systems (ISCAS),2012 IEEE International Symposium on,2012, pp.2361-2364.
    [113]C. Yuan and Y. Lam, "Low-energy and area-efficient tri-level switching scheme for SAR ADC," Electronics Letters, vol.48, pp.482-483,2012.
    [114]Z. M. Zhu, Y. Xiao, and X. L. Song, "Vcm-based monotonic capacitor switching scheme for SAR ADC," Electronics Letters, vol.49, pp.327-328, Feb 28 2013.
    [115]W.-L. Wu, Y. Zhu, L. Ding, C.-H. Chan, U. F. Chio, S.-W. Sin, et al., "A 0.6V 8b 100MS/s SAR ADC with minimized DAC capacitance and switching energy in 65nm CMOS," in Circuits and Systems (ISCAS),2013 IEEE International Symposium on,2013, pp.2239-2242.
    [116]L. J. Svensson and J. G. Koller, "Driving a capacitive load without dissipating fCV2," in Low Power Electronics,1994. Digest of Technical Papers., IEEE Symposium,1994, pp.100-101.
    [117]M. Van Elzakker, E. Van Tuijl, P. Geraedts, D. Schinkel, E. A. M. Klumperink, and B. Nauta, "A 10-bit Charge-Redistribution ADC Consuming 1.9μW at 1 MS/s," Solid-State Circuits, IEEE Journal of, vol.45, pp.1007-1015,2010.
    [118]A. Nikoozadeh and B. Murmann, "An Analysis of Latch Comparator Offset Due to Load Capacitor Mismatch," Circuits and Systems Ⅱ:Express Briefs, IEEE Transactions on, vol.53, pp. 1398-1402,2006.
    [119]P. M. Figueiredo and J. C. Vital, "Kickback noise reduction techniques for CMOS latched comparators," Circuits and Systems Ⅱ:Express Briefs, IEEE Transactions on, vol.53, pp.541-545, 2006.
    [120]H. L. Fiedler, B. Hoefflinger, W. Demmer, and P. Draheim, "A 5-bit building block for 20 MHz A/D converters," Solid-State Circuits, IEEE Journal of, vol.16, pp.151-155,1981.
    [121]G. M. Yin, F. Op't Eynde, and W. Sansen, "A high-speed CMOS comparator with 8-b resolution," Solid-State Circuits, IEEE Journal of, vol.27, pp.208-211,1992.
    [122]B. Razavi, Principles of data conversion system design[M]. New York, IEEE press,1995.
    [123]D. Schinkel, E. Mensink, E. Klumperink, E. Van Tuijl, and B. Nauta, "A Double-Tail Latch-Type Voltage Sense Amplifier with 18ps Setup+Hold Time," in Solid-State Circuits Conference,2007. ISSCC2007. Digest of Technical Papers. IEEE International,2007, pp.314-605.
    [124]B. Wicht, T. Nirschl, and D. Schmitt-Landsiedel, "Yield and speed optimization of a latch-type voltage sense amplifier," Solid-State Circuits, IEEE Journal of, vol.39, pp.1148-1158,2004.
    [125]H. Jeon and Y.-B. Kim, "A CMOS low-power low-offset and high-speed fully dynamic latched comparator," in SOC Conference (SOCC),2010 IEEE International,2010, pp.285-288.
    [126]L. Hae-Seung, "A 12-b 600 ks/s digitally self-calibrated pipelined algorithmic ADC," Solid-State Circuits, IEEE Journal of, vol.29, pp.509-515,1994.
    [127]P. Amaral, J. Goes, N. Paulino, and A. Steiger-Garcao, "An improved low-voltage low-power CMOS comparator to be used in high-speed pipeline ADCs," in Circuits and Systems,2002. ISCAS 2002. IEEE International Symposium on,2002, pp. V-141-V-144 vol.5.
    [128]L. Y. Nathawad, R. Urata, B. A. Wooley, and D. A. B. Miller, "A 40-GHz-bandwidth,4-bit, time-interleaved A/D converter using photoconductive sampling," Solid-State Circuits, IEEE Journal of, vol.38, pp.2021-2030,2003.
    [129]L. Seon-Kyoo, S.-J. Park, P. Hong-June, and S. Jae-Yoon, "A 21 O/Conversion-Step 100 kS/s 10-bit ADC With a Low-Noise Time-Domain Comparator for Low-Power Sensor Interface," Solid-State Circuits, IEEE Journal of, vol.46, pp.651-659,2011.
    [130]T. Sepke, P. Holloway, C. G. Sodini, and L. Hae-Seung, "Noise Analysis for Comparator-Based Circuits," Circuits and Systems I:Regular Papers, IEEE Transactions on, vol.56, pp.541-553, 2009.
    [131]T. Sundstrom, B. Murmann, and C. Svensson, "Power dissipation bounds for high-speed Nyquist analog-to-digital converters," Circuits and Systems I:Regular Papers, IEEE Transactions on, vol. 56, pp.509-518,2009.
    [132]M. Miyahara, Y. Asada, D. Paik, and A. Matsuzawa, "A low-noise self-calibrating dynamic comparator for high-speed ADCs," in Solid-State Circuits Conference,2008. ASSCC. IEEE Asian, 2008, pp.269-272.
    [133]C. Chi-Hang, Z. Yan, U. F. Chio, S. Sai-Weng, U. Seng-Pan, and R. P. Martins, "A reconfigurable low-noise dynamic comparator with offset calibration in 90nm CMOS," in Solid State Circuits Conference, ASSCC.2011 IEEE Asian,2011, pp.233-236.
    [134]L. Seon-Kyoo, S.-J. Park, Y. Suh, P. Hong-June, and S. Jae-Yoon, "A 1.3μW 0.6V 8.7-ENOB successive approximation ADC in a 0.18μm CMOS," in VLSI Circuits,2009 Symposium on,2009, pp.242-243.
    [135]C. Svensson and J. J. Wikner, "Power consumption of analog circuits:a tutorial," Analog Integrated Circuits and Signal Processing, vol.65, pp.171-184,2010.
    [136]M. M. Liu, Demystifying switched capacitor circuits:Access Online via Elsevier,2006.
    [137]G. Van der Plas, S. Decoutere, and S. Donnay, "A 0.16pJ/Conversion-Step 2.5mW 1.25GS/s 4b ADC in a 90nm Digital CMOS Process," in Solid-State Circuits Conference,2006. ISSCC 2006. Digest of Technical Papers. IEEE International,2006, p.2310.
    [138]M. Yoshioka, K. Ishikawa, T. Takayama, and S. Tsukamoto, "A 10-b 50-MS/s 820-μW SAR ADC With On-Chip Digital Calibration," Biomedical Circuits and Systems, IEEE Transactions on, vol. 4, pp.410-416, Dec 2010.
    [139]周润德.数字集成电路——电路系统与设计[M].电子工业出版社,2004.
    [140]S.-W. Chen and R. W. Brodersen, "A 6-bit 600-MS/s 5.3-mW Asynchronous ADC in 0.13-um CMOS " Solid-State Circuits, IEEE Journal of, vol.41, pp.2669-2680,2006.
    [141]J.-Y. Lin, H.-Y. Huang, C.-C. Hsieh, and H.-I. Chen, "A 0.05mm2 0.6V 500kS/s 14.3fJ/conversion-step 11-bit two-step switching SAR ADC for 3-dimensional stacking CMOS imager," in Solid State Circuits Conference ASSCC 2012 IEEE Asian,2012, pp.165-168.
    [142]J. Doernberg, L. Hae-Seung, and D. Hodges, "Full-speed testing of A/D converters," Solid-State Circuits, IEEE Journal of, vol.19, pp.820-827,1984.
    [143]A. Shikata, R. Sekimoto, T. Kuroda, and H. Ishikuro, "A 0.5 V 1.1 MS/sec 6.3 fJ/Conversion-Step SAR-ADC With Tri-Level Comparator in 40 nm CMOS," Solid-State Circuits, IEEE Journal of, vol.47, pp.1022-1030,2012.
    [144]池保勇,余志平,石秉学CMOS射频集成电路分析与设计[M].清华大学出版社,2006.
    [145]Sansen,陈莹梅.模拟集成电路设计精粹[M].清华大学出版社,2008.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700