时分交换的新型FPGA互连结构研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
现场可编程门阵歹(?)(FPGA)以其独特的可编程特性、低掩膜成本、快速产品开发、方便产品升级等优势成为过去二十年中迅速发展的数字系统核心。FPGA的结构决定其独特的可编程性能,而在大规模FPGA芯片中,可编程互连资源占据整个芯片70%的面积和60%的延时,因此互连资源的结构很大程度上决定了FPGA芯片的性能,是FPGA设计的重中之重。
     本文总结了国内外FPGA互连资源的研究现状,指出传统互连结构的特点是通过空间上的大量冗余以满足实现各种电路连接的灵活性,造成大量互连资源的浪费。随着FPGA的规模越来越大,传统互连结构成为提升速度和密度的瓶颈。本文在时分复用、源同步传输、串行流水线技术的基础上,结合通信系统中的时隙交换原理,提出一种新型时分交换FPGA(时分交换即基于时分复用的时隙交换,简称TDE-FPGA)(?)的互连结构。这种新型互连结构可以减少互连资源占用芯片的面积、降低设计复杂性、提高可靠性,为开发新型的高逻辑密度、高性能FPGA产品打下基础。若该研究能在国产FPGA芯片中推广应用,将为FPGA器件的国产化带来巨大的社会效益和经济效益。
     首先提出TDE-FPGA的整体硬件架构:在传统FPGA的互连资源中添加时隙交换单元、时分复用单元、串化器、解串器等关键电路,以支持本文提出的时分交换思想。并采用TSMC65nm工艺库对关键电路进行仿真,仿真结果证实了TDE-FPGA互连结构的设计思想。
     然后将TDE-FPGA中的时分交换互连结构转换为等价的空间交换互连结构,建立等价参数化空间交换模型,在CAD工具VPR的基础上改进算法和程序,建立新型TDE-FPGA的软件模型TDE-VPR。
     最后在软件模型TDE-VPR的基础上,对TDE-FPGA结构进行评估。将20个MCNC标准测试电路集在TDE-VPR中进行布局布线,比较TDE-FPGA与传统FPGA结构布局布线成功所需的最小通道宽度数量Wmin,结果显示TDE-FPGA可以减少Wmin数量,减少互连资源,提高布通率,而且复用度越高,时分交换的效果越好。并在本文提出的面积评估模型上比较TDE-FPGA和传统FPGA结构在而积上的差异,结果显示,新型TDE-FPGA相较于传统FPGA结构,复用度为8时,最多可节省29.6%的而积,平均最多节省15.2%的互连资源而积。证实使用时分交换的新型TDE-FPGA结构可有效减少互连资源占用芯片的而积。
FPGA has become one of the key digital system over the last two decades because of its unique programmable technology, low mask cost, shorten time-to-market and easy to upgrade. The programmable feature of FPGA is decided by its unique architecture. Among the research and development of FPGA architecture, the design of programmable interconnection is the most important, because it costs approximately70%of the chip area and60%of the signal delay.
     This thesis summarize the research about interconnection in FPGA, point out that the traditional fabric gain the flexibility by large redundance in space. As the scale of the chip increase, the traditional fabric soon became the bottle net of speed and density of the chip. This thesis proposed a new architecture of FPGA(Time Division Exchange-FPGA which shorten by TDE-FPGA) interconnect based on time-division multiplex, source-synchronous, pipelining, and the theory of time slot exchange. The new architecture can reduce the area of interconnection resources, play down the design complexity, improve the reliability, lay the foundation for developing high density and low power FPGA chip. It will bring enormous social and economic benefits if the research can be applied in homemade FPGA chips.
     Firstly, proposes the whole hardware framework of TDE-FPGA, adds time slot exchange, time division multiplex, serializer and deserializer to the traditional FPGA, and then emulated the added circuits using TSMC65nm technology library, the results show that the design of TDE-FPGA are thoroughly correct.
     Secondly, establish the software model of TDE-FPGA through transport the time division exchange fabric into the space interconnection fabric, based on the CAD tool VPR and modify its arithmetic and procedure, which named TDE-VPR.
     Finally, assess the new TDE-FPGA architecture based on TDE-VPR, place and route on TDE-VPR for20MCNC standard test circuits, compared the minimal channel width Wmin between the TDE-FPGA and the traditional FPGA. The results show that the TDE-FPGA can reduce the amount of Wmin, enhance the routability compared with the traditional FPGA, and with larger M, a better result can be obtained. And then compared the area difference based on the area model, the results show that when M=8, we can save the area of29.6%at most,15.2%in average. It proves that the new TDE-FPGA architecture can reduce the area of interconnection resources.
引文
[1]Xilinx, Inc., Virtex/Virtex-Ⅱ/Virtex-4/Virtex-5/Virtes-6/Spartan/Spartan-Ⅱ/Spart-an-3/Spartan-6 FPGA Family Complete Data Sheet.
    [2]Altera Corp., Stratix/Stratix-Ⅱ/Stratix-Ⅲ/Cyclone/Cyclone-Ⅱ Device Handbook.
    [3]Compton. K, Hauck. S. Reconfigurable computing:A survey of systems and soft-ware[J]. ACM Computing Surveys.2002. Vol (34). pp.171-210
    [4]T.J. Todman, G.A. Constantinides. Reconfigurable computing:architectures and design methods [J]. IEE Proc.-Comput. Digit. Tech.2005.Vol (152). pp.193-207
    [5]http://www.ece.lsu.edu/vaidy/raw05
    [6]K. Compton, Z. Li, J. Cooley, etc. Configuration Relocation and Defragmentation for Run-Time Reconfigurable Computing [J]. Trans. Very Large Scale Integration (VLSI) Systems.2002. Vol (10). No.3, pp.209-220.
    [7]Dynamically Reconfigurable Devices & Technology [R]. http://dec.bournemouth.ac.uk/drhw_1ib/technology.html.
    [8]Von Neumann J. "Theory of Self-reproduction Automata". A.W.Burks(Ed.), Urb-ana, IL:Univ.of Illinois Press,1966
    [9]Hugo de Garis, "Artificial Embryology:The Genetic Programming of an Artifici-al Embryo", Ch.14 in book Dynamic, Genetic, and Chaotic Programming, ed. Br-anko Soucek and the IRIS Group, Wiley, NY,1992.
    [10]E. Kusse and J. Rabaey, "Low-energy embedded FPGA structures," in Proc. Intl. Symp. Low Power Electronics and Design, pp.155-160, August 1998.
    [11]F. Li, Y. Lin, L. He, a nd J. Cong, "Low-power FPGA using pre-defined dual-vdd/ dual-vt fabrics," in Proc. ACM Intl. Symp. Field-Programmable Gate Arrays, Fe-bruary 2004.
    [12]A. Marquardt, V. Betz and J. Rose, "Speed and Area Tradeoffs in Cluster-Based FPGA," [J] IEEE Trans on VLSI, pp.84-93, Feb.2000.
    [13]J. Rose and D. Hill, "Architectural and Physical Design Challenges for One-Mill-ion Gate FPGAs and Beyond," ACM Int. Symp. on FPGAs; 1997, pp.129-132.
    [14]V. Betz, J. Rose and A. Marquardt, Architecture and CAD for Deep-Submicron FPGAs, Kluwer Academic Publishers,1999.
    [15]Ian Kuon, Russell Tessier, and Jonathan Rose. " FPGA Architecture:Survey and Challenges", Now Publishers Inc,2008
    [16]J. Rose and S. Brown, "Flexibility of interconnection structures for field programmable gate arrays," IEEE Journal of Solid-State Circuits, vol.26, no.3, pp.277-282, March 1991.
    [17]David Lewis, "The Stratix Ⅱ logic and routing architecture",13th international symposium on Field-programmable gate arrays 2005, P14-20.
    [18]Li-Guang Chen, Jin-Mei Lai, Jia-Rong Tong "An AND-LUT Based Hybrid FPGA Architecture"半导体学报,P19, VOL.28, NO.3, Mar 2007
    [19]LiGuang Chen, YaBin Wang, JinMei Lai*, Jiarong Tong, Fang Wu, Rui Tu, QiuShi Shen, Huowen Zhang, Jian wang, Yuan wang " Design and Implementation of FDP Chip"半导体学报,P713/VOL.29, NO.4,Apr 2008。
    [20]Fang Wu, Huowen Zhang, JinMei Lai*, Yuan Wang, Liguang Chen, Lei Duan, Jiarong Tong "Design and Implementation of a Delay-Optimized Universal Programmable Routing Circuit for FPGA " ASPDAC2009
    [21]Sumanta Chaudhuri, "Diagonal Tracks in FPGAs:A Performance Evaluation" International Symposium on Field Programmable Gate Arrays 2009, p245-248
    [22]G. Lemieux and D. Lewis, "Analytical framework for switch block design," in Proceedings:International Symposium on Field Programmable Logic and Applications, pp.122-131, September 2002.
    [23]G. Lemieux and D. Lewis, "Using sparse crossbars within LUT clusters," in Proceedings:ACM/SIGDA International Symposium on FPGAs, pp.59-68, February 2001.
    [24]G. Lemieux, E. Lee, M. Tom, and A. Yu, "Directional and single-driver wires in FPGA interconnect, " in Proceedings:International Conference on Field-Programmable Technology, pp.41-48, December 2004.
    [25]M. Hutton, S. Shumarayev, V. Chan, P. Kazarian, V. Maruri, T. Ngai, J. Park, R. Patel, B. Pedersen, and J. Schleicher, " Interconnect enhancements for a high-speed PLD architecture," in Proceedings:ACM/SIGDA International Symposium on Field-programmable Gate Arrays, pp.3-10, February 2002.
    |26]V. Betz and J. Rose, "VPR:A New Packing, Placement and Routing Tool for FPGA Research," [C] Int. Workshop on Field-Programmable Logic and Applic-ations, Sept.1997.
    [27]J. Rose, A. Gamal and A. Vincentelli, "Architecture of Field-Programmable Gate Arrays," [C] Proc. of the IEEE, pp.1013-1029, Jul.1993.
    [28]S. Brown, R. Francis, J. Rose and Z. Vranesic, Field-Programmable Gate Arrays, Kluwer Academic Publishers,1992
    [29]S. Brown, "An Overview of Technology, Architecture and CAD tools for Programmable Logic Devices." CICC,1994, pp.69-76.
    [30]P. Chow, S. Seo, J. Rose, K. Chung, G. Paez and I. Rahardja, "The Design of an SRAM-Based Field-Programmable Gate Array, Part Ⅱ:Circuit Design and Layout," To appear in IEEE Trans, on VLSI(译者:IEEE Trans, on VLSI, Vol.7, No.3,1999, pp.321-330)
    [3I]M. Khellah, S. Brown and Z. Vranesic, "Modelling Routing Delays in SRAM based FPGAs," Proc. Canadian Conf on VLSI,1993, pp.6B.13-6B.18.
    [32]Lucent Technologies, FPGA Data Book,1998.
    [33]Vantis Corporation, "VF1 Field Programmable Gate Arrays," Preliminary Data Sheet,1998.
    [34]V. Betz. Architectures and CAD for Speed and Area Optimizations of FPGA [D]. Toronto:University of Toronto,1998:
    [35]V. Betz, J. Rose. Circuit design, transistor sizing and wire layout of FPGA interconnect [J]. Custom Integrated Circuits,1999,16:171-174.
    [36]A. Aggarwal, D. Lewis. Routing Architectures for Hierarchical Field-Programmable Gate Arrays [J]. Proc. IEEE Custom Integrated Circuits Conference,1994,1:204-207.
    [37]Actel Corp., ProASIC3/ProASICPULS/40MX/42MX FPGA Family Data Sheet.
    [38]Quicklogic Corp., Eclipse/Eclipse Ⅱ/pASIC 1/pASIC 2/pASIC 3 FPGA Family Data Sheet.
    [39]Xilinx, Inc., XC7000/9500 In-System Programmable CPLD Family Data Sheet.
    [40]Altera Corp., MAX 3000/7000 Programmable Logic Device Family Data Sheet.
    [41]Lattice Semiconductor Corp., LatticeECP/EC Family Data Sheet, May 2005.
    [42]E. Ahmed and J. Rose, "The Effect of LUT and Cluster Size on Deep-Submicron FPGA Performance and Density," [C] Proc. of ACM Int. Symp. on FPGAs, pp. 3-12, Feb.2000.
    [43]Xilinx:Inc.. XC2000/XC3000/XC4000 Series FPGAs Data Sheet.
    [44]S. Wilton, Architecture and Algorithms for Field-Programmable Gate Arrays with Embedded Memory[D], Ph.D. thesis, University of Toronto,1997
    [45]Y. W. Chang, D. wong, and C. wong, Unversal switch modules for FPGA design[J], ACM Tran. On Design Automation of Electronic system,1996, vol. 1:80-101
    [46]Xilinx, Inc., XC5200 Series FPGAs Data Sheet, Nov.1998.
    [47]R. Jayaraman, "Physical Design for FPGAs," [C] Proc. of ACM tot. Symp. on Physical Design, pp.214-221, Apr.2001.
    [48]R. Francis, J. Rose and Z. Vranesic, "Chortle-crf:Fast Technology Mapping for Lookup Table-Based FPGAs," DAC,1991, pp.227-233.
    [49]R. Francis, J. Rose and Z. Vranesic, "Technology Mapping Lookup Table-Based FPGAs for Performance," ICCAD,1991, pp.568-571.
    [50]K. C. Chen, J. Cong, Y. Ding, A. Kahng, and R Trajmar, "DAG-Map: Graph-Based FPGA Technology Mapping for Delay Optimization," IEEE Design and Test Magazine, Sept 1992, pp.7-20.
    [51]L Hagen and A. Kahng, "A New Approach to Effective Circuit Clustering," ICCAD,1992, pp.422-427.
    [52]J. Cong and M. Smith, "A Parallel Bottom-up Clustering Algorithm with Applications to Circuit Partitioning in VLSI Design," DAC,1993, pp.755-760.
    [53]L. Hagen and A. Kahng, "Fast Spectral Methods for Ratio Cut Partitioning and Clustering," ICCAD,1991, pp.10-13.
    [54]C. Alpert and A. Kahng, "Geometric Embeddings for Faster and Better Multi-Way Netlist Partitioning," DAC.1993, pp.743-748.
    [55]C. Alpert and S. Z. Yao, "Spectral Partitioning:The More Eigenvectors, The Better,"DAC,1995, pp.195-200.
    [56]E. Lawler, K. Levitt and J. Turner, "Module Clustering to Minimize Delay in Digital Networks,"IEEE Trans. on Computers. Jan.1966, pp.47-57.
    [57]R. Murgai, R. Brayton, and A. Sangiovanni-Vincentelli, "On Clustering for Minimum Delay/Area," ICCAD,1991, pp.6-9.
    [58]R. Rajaraman and D. F. Wong, "Optimal Clustering for Delay Minimization,' DAC,1993, pp.309-314.
    [59]A. Dunlop and B. Kernighan, "A Procedure for Placement of Standard-Cell VLSI Circuits," IEEE Trans. on CAD, Jan.1985, pp.92-98.
    [60]D. Huang and A. Kahng. "Partitioning-Based Standard-Cell Global Placement with an Exact Objective," ACM Symp. on Physical Design,1997, pp.18-25.
    [61]J. Rose, W. Snelgrove and Z. Vranesic, "ALTOR:An Automatic Standard Cell Layout Program," Canadian Conf. on VLSI,1985, pp.169-173.
    [62]C. Alpert, T. Chan, D. Huang, A. Kahng, I. Markov, P. Mulct and K. Yan,"Faster Minimization of Linear Wirelength for Global Placement," ACM Symp. on Physical Design,1997, pp.4-11
    [63]A. Srinivasan, K. Chaudhary and E. Kuh, "Ritual:A Performance Driven Placement Algorithm for Small Cell ICs," ICCAD,1991, pp.48-51.
    [64]B. Riess and G. Ettelt, "Speed:Fast and Efficient Timing Driven Placement" IEEE Int. Symp. on Circuits and Systems,1995, pp.377-380.
    [65]S. Kirkpatrick, C. Gelatt and M. Vecchi, "Optimization by Simulated Annealing," Science, May 13,1983, pp.671-680.
    [66]C. Sechen and A. Sangiovanni-Vincentelli, "The TimberWolf Placement and Routing Package," JSSC, April 1985, pp.510-522.
    [67]W. Sun and C. Sechen, "Efficient and Effective Placement for Very Large Circuits," IEEE Trans, on CAD, March 1995, pp.349-359.
    [68]W. Swartz and C. Sechen, "Timing Driven Placement for Large Standard Cell Circuits," DAC,1995, pp.211-215.
    [69]M. Alexander, J. Cohoon, J. Ganley and G. Robins, "An Architecture-Independent Approach to FPGA Routing Based on Multi-Weighted Graphs," European Design Automation Conf,1994, pp.259-264.
    [70]Y.-L. Wu, M. Marek-Sadowska, "An Efficient Router for 2-D Field-Programmable Gale Arrays," European Design Automation Conf,1994, pp. 412-416.
    [71]Y.-L. Wu, M. Marek-Sadowska, "Orthogonal Greedy Coupling-A New Optimization Approach to 2-D FPGA Routing," DAC,1995, pp.568-573.
    [72]J. S. Rose, "Parallel Global Routing for Standard Cells," IEEE Trans. on CAD, Oct.1990, pp.1085-1095.
    [73]Y. Chang, S. Thakur, K. Zhu and D. Wong, "A New Global Routing Algorithm for FPGAs," ICCAD,1994, pp.356-361.
    [74]S. Brown. J. Rose, Z. G. Vranesic, "Detailed Router for Field-Programmable Gate Arrays," IEEE Trans, on CAD, May 1992. pp.620-628.
    [75]Prof. Jan Rabaey, Digital Integrated Circuits,2nd Edition, Prentice-Hall,2003;
    [76]V. Betz and J. Rose, "Directional Bias and Non-Uniformity in FPGA Global Routing Architectures," ICCAD,1996, PP.652-659.
    [77]V. Betz and J. Rose., "Effect of the Prefabricated Routing Track Distribution on FPGA Area-Efficiency," IEEE Trans, on VLSI, Sept.1998, pp.445-456.
    [78]Lucent Technologies, FPGA Data Book,1998.
    [79]Actel Inc., FPGA Data Book and Design Guide,1994.
    [80]B. K. Britton et al., "Second Generation ORCA Architecture Utilizing 0.5 tm Process Enhances the Speed and Usable Gate Capacity of FPGAs," IEEE Int., ASIC Conf, Sept.1994, pp.474-478.
    [81]B. Tseng, I. Rose and S. Brown, "Using Architectural and CAD Interactions to Improve FPGA Routing Architectures," ACM Workshop on FPGAs,1992, pp. 3-8.
    [82]Y. Chang, D.1 Wong, and C. K. Wong, "Universal Switch Modules for FPGA," ACM Trans, on Design Automation of Electronic Systems, Jan.1996, pp.80-101.
    [83]P. Chow, S. Seo, J. Rose, K. Chung, G. Paez and 1. Rahardja, "The Design of an S RAM-Based Field-Programmable Gate Array, Part Ⅰ Architecture," To appear in IEEE Trans, on VLSI.
    [84]S. Brown, M. Khellah and G. Lemieux, "Segmented Routing for Speed-Performance and Routability in Field-Programmable Gate Arrays," Journal of VLSI Design, Vol.4, No.4,1996, pp.275-291.
    [85]M. Khellah, S. Brown and Z. Vranesic, "Minimizing Interconnection Delays in Array-Based FPGAs," CICC 1994, pp.181-184.
    [86]S. Brown, M. Khellah and Z. Vranesic, "Minimizing FPGA Interconnect Delays,' IEEE Design and Test Magazine, Winter 1996, pp.16-23.
    [87]J. He and J. Rose, "Advantages of Heterogeneous Logic Block Architectures for FPGAs," CJCC,1993, P 7.4.1-7.4.5.
    [88]K. Chung, S. Singh, J. Rose and P. Chow, "Using Hierarchical Logic Blocks to Improve the Speed of Field-Programmable Gate Arrays," Int. Workshop on Field Programmable Logic and Applications,1991.
    [89]J. Cong and Y. Hwang, "Boolean Matching for Complex PLBs in LUT-based FPGAs with Application to Architecture Evaluation" ACM Symp. on FPGAs, 1998, pp.27-34.
    [90]K. Roy and M. Mehendale, "Optimization of Channel Segmentation for Channelled Architecture FPGAs," CICC,1992, pp.4.4.1-4.4.4.
    [91]S. Brown, M. Khellah and G. Lemieux, "Segmented Routing for Speed-Performance and Routability in Field-Programmable Gate Arrays," Journal of VLSI Design, Vol.4, No.4,1996, pp.275-291.
    [92]Alexander Marquardt, Vaughn Betz, "Speed and Area Tradeoffs in Cluster-Based FPGA", IEEE Trans on VLSI Systems, Vol.8, No. 1, Feb,2000.
    [93]Yi-Ru He, Wai-Kei Mak, "Optimal Buffering of FPGA Interconnect for expected Delay Optimization", in Proc. FPT2007
    [94]Staish Sivaswamy, Gang Wang, Cristinel Ababei, Kia Bazargan, Ryan Kastner and Eli Bozorgzadeh, "HARP:Hard-wired Routing Pattern FPGAs", in Proceeding FPGA2005, Feb.20-22, Monterey, California, USA
    [95]Fei Li and Yan Lin and Lei He, "Vdd programmability to reduce FPGA interconnect power," in Proc. Intl. Conf. Computer-Aided Design, November 2004.
    [96]Y. Lin, F. Li, and L. He, "Power modeling and architecture evaluation for FPGA with novel circuits for vdd programmability," in Proc. ACM Int. Symp. Field-Programmable Gate Arrays, Feb.2005.
    [97]Yan Lin, Fei Li, and Lei He, "Circuits and Architectures for Field Programmable Gate Array With Configurable Supply Voltage", IEEE Transactions on VLSI systems, Vol.13, NO.9, Sep.2005
    [98]Jason H. Anderson, Farid N. Najm, "Active leakage power optimization for FPGAs", IEEE Transaction on CAD of Integrated Circuit and Systems, Vol.25, NO.3, March 2006
    [99]Jason H. Anderson, Farid N. Najm, "A novel low-power FPGA routing switch" in Proc. Custom Integrated Circuits,2004
    [100]Jason H. Anderson. Farid N. Najm, "Power estimation techniques for FPGAs". IEEE Transaction on VLSI Systems, Vol.12, NO.10, Oct.2004
    [101]V. George and J. Rabaey, "Low-Energy FPGAs:Architecture and Design" Boston, MA:Kluwer,2001.
    [102]Andrea Lodi. Luca Ciccarelli, Roberto Giansante, "Combing Low-Leakage Techniques for FPGA Routing Design", in Proc. FPGA2005, Feb. California, USA.
    [103]Deshanand P. Singh, Stephen D. Brown, "The Case for Registered Routing Switches in Field Programmable Gate Arrays", ACM/SIGDA Ninth International Symposium on Field-Programmable Gate Arrays, pp 161-169, 2001.
    [104]Akshay Sharma, Katherine Compton, "Exploration of Pipelined FPGA Interconnect Structures", FPGA'04, February 22-24,2004, Monterey, California, USA.
    [105]Nicholas Croyle Weaver,"A Fixed Frequency FPGA Architecture", PHD thesis, Dept. of Computer Science, University of California at Berkeley,2003.
    [106]侯慧、马晓骏、来金梅、童家榕、孙劫、陈利光,“适用于数据通路的可编程逻辑器件FDP100K",电子学报,2005
    [107]LiGuang Chen, YaBin Wang, JinMei Lai, Jiarong Tong, Rui Tu, "Design and Implementation of FDP Chip" 半导体学报, P713,VOL.29, NO.4,Apr 2008。
    [108]Fang Wu, Huowen Zhang, JinMei Lai, Liguang Chen, Lei Duan, Jiarong Tong "Design and Implementation of a Delay-Optimized Universal Programmable Routing Circuit for FPGA " ASPDAC2009
    [109]Wang Liyun, Xing Chen, Jinmei Lai, etc. "Uniform Routing Architecture for FPGA with Embedded IP Cores", Proceeding of ASICON,2009,Changsha
    [110]蔡丹,硕士学位论文,“具有广泛适应性的高性能FPGA布局布线算法研究”,2008
    [111]N. Weaver, J. Hauser, and J. Wawrzynek, "The SFRA:A corner-turn FPGA architecture," in Proceedings:ACM/SIGDA International Symposium on Field-Programmable Gate Arrays, pp.3-12, February 2004.
    [112]Rosemary Francis, Simon Moore, Robert Mullins, "A Network of Time-Division Multiplexed Wiring for FPGAs" Second ACM/IEEE International Symposium on Networks-on-Chip, Pages 35-44,2008
    [113]Paul Leonard Teehan, "Reliable high-throughput FPGA interconnect using source-synchronous surfing and wave pipelining". MASc thesis, Dept. of Electrical and Computer Engineering, The University Of British Columbia, 2008.
    [114]Paul Teehan. Guy Lemieux."Towards reliable 5Gbps wave-pipelined and 3Gbps surfing interconnect in 65nm FPGAs", Proceeding of the ACM/SIGDA international symposium on Field programmable gate arrays, P43-52,2009
    [115]T. Mak, P. Sedcole, P. Y. K. Cheung and W. Luk. "Wave-pipelined signaling for on-FPGA communication", Proc. IEEE International Conference on Field Programmable Technology,2008.
    [116]T. Mak, C. D'Alessandro, P. Sedcole, P.Y.K. Cheung, A. Yakovlev, and W. Luk, "Implementation of wave-pipelined interconnects in FPGAs," ACM/IEEE Int. Symp. on Networks-on-Chip, pp.213-214,2008.
    [117]金惠文等编著,《现代交换原理(第二版)》, 电子工业出版社,2005
    [118]A. Aggarwal and D. Lewis. "Routing Architectures for Hierarchical Field Programmable Gate Arrays," ICCD,1994, pp.475-478.
    [119]S. Yang, "Logic Synthesis and Optimization Benchmarks, Version 3.0," [R] Tech. Report, Microelectronics Centre of North Carolina,1993.
    [120]E. Sentovich et al., "SIS:A System for Sequential Circuit Analysis," [R] Tech. Report No. UCB/ERL M92/41, University of California, Berkeley,1990.
    [121]J. Cong and Y. Ding, "FlowMap:An Optimal Technology Mapping Algorithm for Delay Optimization in Lookup-Table Based FPGA Designs," [J] IEEE Trans. on CAD, pp.1-12, Jan.1994.
    [122]A. Marquardt, V. Betz and J. Rose, "Using Cluster-Based Logic Blocks and Ti-ming-Driven Packing to Improve FPGA Speed and Density," [C] Proc. of ACM Int. Symp. on FPGAs, pp.37-46, Feb.1999.
    [123]V. Betz and J. Rose, "Effect of the Prefabricated Routing Track Distribution on FPGA Area-Efficiency," [J] IEEE Trans, on VLSI, pp.445-456, Sept.1998.
    [124]Y. Chang, D. Wong and C. Wong, "Universal Switch Modules for FPGA Desi-gn," [J] ACM Trans, on Design Automation of Electronic Systems, pp.80-101, Jan.1996.
    [125]S. Kirkpatrick, C. Gelatt and M. Vecchi, "Optimization by Simulated Annealing," Science, May 13,1983, pp.671-680.

© 2004-2018 中国地质图书馆版权所有 京ICP备05064691号 京公网安备11010802017129号

地址:北京市海淀区学院路29号 邮编:100083

电话:办公室:(+86 10)66554848;文献借阅、咨询服务、科技查新:66554700