Processing-in-Memory: Exploring the Design Space
详细信息    查看全文
  • 作者:Marko Scrbak (17)
    Mahzabeen Islam (17)
    Krishna M. Kavi (17)
    Mike Ignatowski (18)
    Nuwan Jayasena (18)

    17. University of North Texas
    ; Denton ; USA
    18. AMD Research - Advanced Micro Devices
    ; Inc. ; Sunnyvale ; USA
  • 关键词:Processing ; in ; memory ; 3D ; DRAM ; Big data ; MapReduce
  • 刊名:Lecture Notes in Computer Science
  • 出版年:2015
  • 出版时间:2015
  • 年:2015
  • 卷:9017
  • 期:1
  • 页码:43-54
  • 全文大小:256 KB
  • 参考文献:1. Kogge, P.M., Jay, B.B., Sterling, T., Guang, G.: Processing in memory: chips to petaflops. In: Workshop on Mixing Logic and DRAM: Chips that Compute and Remember at ISCA, vol. 97 (1997)
    2. Zhang, D.P., Jayasena, N., Lyashevsky, A., et al.: A new perspective on processing-in-memory architecture design. In: Proceedings of the ACM SIGPLAN Workshop on Memory Systems Performance and Correctness, p. 7. ACM (2013)
    3. Loh, G., Jayasena, N., Oskin, M., et al.: A processing in memory taxonomy and a case for studying fixed-function PIM. In: WoNDP: 1st Workshop on Near-Data Processing (2013)
    4. Rezaei, M, Kavi, KM (2006) Intelligent memory manager: Reducing cache pollution due to memory management functions. Journal of Systems Architecture 52: pp. 41-55 CrossRef
    5. Chang, D.W., Byun, G., Kim, H., et al.: Reevaluating the latency claims of 3D stacked memories. In: Design Automation Conference (ASP-DAC), 2013 18th Asia and South Pacific, pp. 657鈥?62. IEEE (2013)
    6. Gara, A.: Energy efficiency challenges for exascale computing. In: ACM/IEEE Conference on Supercomputing: Workshop on Power Efficiency and the Path to Exascale Computing (2008)
    7. Keckler, SW, Dally, WJ, Khailany, B (2011) GPUs and the future of parallel computing. IEEE Micro 31: pp. 7-17 CrossRef
    8. Islam, M, Scrbak, M, Kavi, KM, Ignatowski, M, Jayasena, N Improving node-level MapReduce performance using processing-in-memory technologies. In: Lopes, L eds. (2014) Euro-Par 2014: Parallel Processing Workshops. Springer, Heidelberg, pp. 425-437 CrossRef
    9. Black, B., Annavaram, M., Brekelbaum, N., DeVale, J., et al.: Die stacking (3D) microarchitecture. In: Micro, pp. 469鈥?79. IEEE (2006)
    10. Hybrid Memory Cube Consortium. http://hybridmemorycube.org/
    11. Draper, J., Chame, J., Hall, M., et al.: The architecture of the DIVA processing-in-memory chip. In: Proceedings of the Supercomputing, pp. 14鈥?5. ACM (2002)
    12. JEDEC.http://www.jedec.org/category/technology-focus-area/3d-ics-0
    13. Patterson, D, Anderson, T, Cardwell, N (1997) A case for intelligent RAM. Micro 17: pp. 34-44
    14. Pugsley, S.H., Jestes, J., Zhang, H.: NDC: analyzing the impact of 3D-stacked memory+logic devices on mapreduce workloads. In: International Symposium on Performance Analysis of Systems and Software (2014)
    15. Torrellas, J.: FlexRAM: toward an advanced intelligent memory system: a retrospective paper. In: Intlernational Conference on Computer Design, pp. 3鈥?. IEEE (2012)
    16. ARM. http://www.arm.com/products/processors/cortex-a/cortex-a5.php
    17. Graham, S.: HMC overview. In: memcon Proceedings (2012)
    18. Zhang, D., Jayasena, N., Lyashevsky, A., et al.: TOP-PIM: throughput-oriented programmable processing in memory. In: Proceedings of international symposium on High-performance parallel and distributed computing, pp. 85鈥?8. ACM (2014)
    19. gem5 Simulator System. http://www.m5sim.org
    20. Ferdman, M., Adileh, A., Kocberber, O., et al.: A case for specialized processors for scale-out workloads. In: Micro, pp. 31鈥?2. IEEE (2014)
    21. Hybrid Memory Cube, Micron. http://www.micron.com/products/hybrid-memory-cube
    22. Brockman, J.B., Kogge, P.M.: The Case for Processing-in-Memory. In: Reports in University of Notre Dame (1997)
    23. Kogge, P.M.: EXECUBE-A new architecture for scaleable MPPs. In: International Conference on Parallel Processing, vol. 1, pp. 77鈥?4. IEEE (1994)
    24. Mai, K., Paaske, T., Jayasena, N., et al.: Smart memories: a modular reconfigurable architecture, vol. 28, no. 2. ACM (2000)
    25. McPAT, HP Labs. http://www.hpl.hp.com/research/mcpat/
    26. Chen, K., Li, S., Muralimanohar, N., et al.: CACTI-3DD: architecture-level modeling for 3D die-stacked DRAM main memory. In: Proceedings of the Conference on Design, Automation and Test in Europe, pp. 33鈥?8. EDA Consortium (2012)
    27. Spiliopoulos, V., Bagdia, A., Hansson, A., et al.: Introducing DVFS-management in a full-system simulator. In: Modeling, Analysis & Simulation of Computer and Telecommunication Systems (MASCOTS), pp. 535鈥?45. IEEE (2013)
  • 作者单位:Architecture of Computing Systems 篓C ARCS 2015
  • 丛书名:978-3-319-16085-6
  • 刊物类别:Computer Science
  • 刊物主题:Artificial Intelligence and Robotics
    Computer Communication Networks
    Software Engineering
    Data Encryption
    Database Management
    Computation by Abstract Devices
    Algorithm Analysis and Problem Complexity
  • 出版者:Springer Berlin / Heidelberg
  • ISSN:1611-3349
文摘
With the emergence of 3D-DRAM, Processing-in-Memory has once more become of great interest to the research community and industry. In this paper, we present our observations on a subset of the PIM design space. We show how the architectural choices for PIM core frequency and cache sizes will affect the overall power consumption and energy efficiency. Our findings include detailed power consumption modeling for an ARM-like core as a PIM core. We show the maximum number of PIM cores we can place in the logic layer with respect to a power budget. In addition, we explore the optimal design choices for the number of cores as a function of frequency, utilization, and energy efficiency.
NGLC 2004-2010.National Geological Library of China All Rights Reserved.
Add:29 Xueyuan Rd,Haidian District,Beijing,PRC. Mail Add: 8324 mailbox 100083
For exchange or info please contact us via email.