微波退火条件下NiSi/Si肖特基势垒高度的调节
详细信息    查看全文 | 推荐本文 |
  • 英文篇名:Adjustment of NiSi/Si Schottky Barrier Height Using Microwave Annealing
  • 作者:周祥标 ; 许鹏 ; 付超超 ; 吴东平
  • 英文作者:Zhou Xiangbiao;Xu Peng;Fu Chaochao;Wu Dongping;State Key Laboratory of ASIC and System,Fudan University;
  • 关键词:杂质分凝 ; 微波退火 ; NiSi ; 肖特基势垒调节 ; 低温
  • 英文关键词:dopant segregation;;microwave annealing;;NiSi;;Schottky barrier height tuning;;low temperature
  • 中文刊名:BDTJ
  • 英文刊名:Semiconductor Technology
  • 机构:复旦大学专用集成电路与系统国家重点实验室;
  • 出版日期:2016-06-03
  • 出版单位:半导体技术
  • 年:2016
  • 期:v.41;No.334
  • 基金:国家自然科学基金资助项目(61474028)
  • 语种:中文;
  • 页:BDTJ201606012
  • 页数:5
  • CN:06
  • ISSN:13-1109/TN
  • 分类号:61-65
摘要
为了满足尺寸不断缩小的金属氧化物场效应晶体管(MOSFET)技术的需求,需要在低温条件下实现肖特基势垒高度的调节,利用杂质分凝技术,结合微波退火进行Ni Si/Si接触的肖特基势垒高度的调节,并采用先离子注入、后淀积金属形成金属硅化物(IBS)和先淀积金属形成金属硅化物后进行离子注入并退火(IAS)两种方案进行研究。通过C-V和I-V测试对制备的样品进行了电学表征,并通过计算给出了其肖特基势垒高度,结果表明微波退火可实现空穴和电子肖特基势垒的有效调节,而且IBS方案的调节效果优于IAS方案的调节效果。除此之外,相对于传统热退火技术,微波退火可以在更低的温度下实现相同肖特基势垒的调节。
        In order to satisfy the demands of the size reduction of MOSFET technology,Schottky barrier height( SBH) tuning should to be achieved at low temperature. Through dopant segregation techniques,microwave annealing was explored to tune the SBH between Ni Si and Si using both implant ions before metal deposition followed by silicidation( IBS) and implant ions after metal deposition followed by silicidation( IAS) schemes. Electric characterization was performed to the samples through C-V and I-V measurements and SBH levels were calculated from the measurement data. The results reveal that microwave annealing can achieve effective hole and electron SBHs tuning and the modulation effect of the IBS scheme is better than that of the IAS scheme. Moreover,compared with conventional thermal annealing,SBH can be tuned to the same level at lower temperature using microwave annealing.
引文
[1]NOORI A M,BALSEANU M,BOELEN P,et al.Manufacturable processs for≤32-nm-node CMOS enhancement by synchronous optimization of strain-engineered channel and external parasistances[J].IEEE Transactions on Electron Devices,2008,55(5):1259-1264.
    [2]XIONG S Y,KING T J,BOKOR J.A comparison study of symmetric ultrathin-body double-gate devices with metal source/drain and doped source/drain[J].IEEE Transactions on Electron Devices,2000,52(8):1859-1867.
    [3]QIU Z,ZHANG Z,STLING M,et al.A comparative study of two different schemes to dopant segregation at Ni Si/Si and Pt Si/Si interfaces for Schottky barrier height lowering[J].IEEE Transactions on Electron Devices,2008,55(1):396-403.
    [4]YAMAUCHI T,NISHI Y,TSUCHIYA Y,et al.Novel doping technology for a 1nm Ni Si/Si junction with dipoles comforting Schottky(DCS)barrier[C]∥Proceedings of International Electron Devices Meeting.Washington,DC,USA,2007:963-966.
    [5]ZHANG Z,QIU Z,LIU R,et al.Schottky-barrier height tuning by means of ion implantation into preformed silicide films followed by drive-in anneal[J].IEEE Electron Device Letters,2007,28(7):565-568.
    [6]LUO J,WU D,QIU Z,et al.On different process schemes for MOSFETs with a controllable Ni Si-based metallic source/drain[J].IEEE Transactions on Electron Devices,2011,58(7):1898-1906.
    [7]KOWALSKI J M,KOWALSKI J E,LOJEK B.Microwave annealing for low temperature activation of As in Si[C]∥Proceedings of the 15thInternational Conference of Advanced Thermal Processing of Semiconductors.Catania,Italy,2007:51-56.
    [8]LEE Y J,CHUANG C C,HSUEH F K,et al.Dopant activation in single-crystalline germanium by low temperature microwave annealing[J].IEEE Electron Device Letters,2011,32(2):194-196.
    [9]ALFORD T L,THOMPSON D C,MAYER J W,et al.Dopant activation in ion implanted silicon by microwave annealing[J].Journal of Applied Physics,2009,106(11):114902-1-114902-8.
    [10]YAMAGUCHI T,KAWASAKI Y,YAMASHITA T,et al.Low-resistive and homogenous Ni Pt-silicide formation using ultra-low temperature annealing with microwave system for 22 nm-node CMOS and beyond[C]∥Proceedings of IEEE International Electron Devices Meeting.San Francisco,CA,USA,2010:26.1.1-26.1.4.
    [11]HU C,XU P,FU C C,et al.Characterization of Ni(Si,Ge)films on epitaxial Si Ge(100)formed by microwave annealing[J].Applied Physics Letters,2012,101(9):092101-1-092101-5.
    [12]SCHRODER D K.Semiconductor material and device characterization[M].New Jersey:John Wiley&Sons,2006:161-162.
    [13]KINOSHITA A,TSUCHIYA Y,YAGISHITA A.Solution for high performance Schottky-source/drain MOSFETs:Schottky barrier height engineering with dopant segregation technique[C]∥Proceedings of Symposium on VLSI Technology.Mumbai,India,2004:168-169.
    [14]SZE S M.VLSI technology[M].New York:Mc Graw-Hill,1988:286-288.
    [15]YAMAUCHI T,KINOSHITA A,TSUCHIYA Y,et al.1 nm Ni Si/Si junction design based onrst-principle calculation for ultimately low contact resistance[C]∥Proceedings of International Electron Devices Meeting.San Francisco,CA,USA,2006:1-4.
NGLC 2004-2010.National Geological Library of China All Rights Reserved.
Add:29 Xueyuan Rd,Haidian District,Beijing,PRC. Mail Add: 8324 mailbox 100083
For exchange or info please contact us via email.