片上天线与射频/无线互连研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着摩尔定律不断地推动着集成电路工艺向前进步,芯片的特征尺寸越来越小,工作频率越来越高,金属互连线所占的面积越来越大,传统的金属互连线遭遇到了性能的极限问题,如互连线的延时、压降、串扰、功耗等问题将制约集成电路的进一步发展。因此,研究并设计一系列缓解或解决互连线极限问题的互连新技术势在必行。
     天线是无线通信系统中一个不可缺少的重要组成部分。近几年来,人们开始研究将天线技术应用于芯片互连线的领域,采用无线通信系统的分析与设计方法,用天线及无线通信方式取代传统的金属互连线,实现芯片内部或者芯片之间某些功能模块的互连,从而在一定程度上缓解金属互连线的极限问题,此即无线互连技术。同时,也有研究采用少量传统微波传输线(微带线或共面波导)作为传输介质,用无线通信中的各种调制解调方式,通过耦合电容上传与下传多路信号,从而减小传统金属线所占的面积,部分缓解传统金属线发展的一些极限问题,此即射频互连技术。
     本学位论文在总结前人研究的基础上,构建了射频互连系统的信道模型及噪声模型,分析了该模型的信号传输特性及噪声特性,以及综合使用各种调制方式实现带宽-信噪比的一个较好的均衡。同时,主要针对片上天线的小型化,高增益,低损耗,定向性等问题展开了研究,设计了各种适用于无线互连系统的天线。将电磁带隙(EBG),高阻表面(HIS)等技术应用于片上天线的设计,并实现了一款单频片间无线互连收发系统,从而验证了该天线的性能优越性及无线互连系统实现的可行性。具体内容阐述如下:
     1、构建并分析了射频互连系统的信道及噪声模型,包括信道的信号传输特性,噪声特性,包括端口反射噪声、开关耦合噪声和接收机热噪声等。根据所得到的信道信噪比特性,为了在带宽及误码率之间实现一个较好的均衡,在不同信噪比条件下综合使用CDMA及MPSK等分段调制方式,提高了整个射频互连系统的整体性能。
     2、为了提高无线互连系统的互连性能,设计了一系列小型化,高增益,低损耗,高方向性的片上天线。采用标准0.18um CMOS工艺设计实现片上缝隙天线,证明了在严格的工艺规则限制下,设计实现这些片上天线完全可行。采用低电阻率( 10Ω?cm)的硅衬底设计实现片上缝隙天线,结果表明该缝隙天线比传统的偶极子天线具有更高的片间传输增益。同时采用该工艺设计实现的片上折合振子天线,在减小天线所占面积的同时一定程度上提高了天线的增益。本文还设计仿真了一对片上锯齿形定向天线,通过前后传输增益的比较,证明该天线具有较好的定向性,从而降低了其后向辐射,减小了对天线后向电路的影响。
     3、为了进一步提高片上天线的传输增益,降低标准CMOS工艺中低电阻率硅衬底对天线增益性能的影响,本文将HIS结构应用到片上天线的设计中,利用HIS结构中的人工磁导体特性,增大了片上偶极子天线的同向电流,从而提高了片上偶极子天线的辐射增益,降低了低损耗硅衬底的损耗。同时,通过HIS加载片上MIM电容,进一步减小了HIS模块的面积,从而节省了天线所占芯片面积。本文还采用EBG结构调谐天线的输入阻抗,在大大减小片上偶极子天线尺寸的同时还抑制了高次谐波。
     4、采用TSMC0.18um工艺,设计了简单的单频无线互连收发系统,该系统工作在20GHz。本设计发射机包括压控振荡器(VCO),E类功率放大器(class E PA),加载MIM电容的HIS结构实现的片上天线。接收机包括加载MIM电容的HIS结构实现的片上天线,带输出缓冲级的低噪声放大器(LNA)。通过测试结果的验证,证明该系统完全可行,同时验证了采用加载MIM电容的HIS结构实现的片上天线性能的优越性。
The application of VLSI and ULSI pushes the development of IC, the progress of which has been predicted by the Moore’s law. However, the scaling down characteristic size, increasing operating frequency, and scaling up metal interconnects area of IC lead to the development limitation of traditional metal interconnects. For instance, the RC delay, IR voltage drop, CV2 fpower loss and crosstalk of metal interconnect will be the bottleneck of IC development. So, the research and design of new interconnect technology solving the limitation problem of interconnects is increasingly necessary.
     Antennas have been an indispensable and important part of the wireless communication system. In recent years, some research moves the traditional antennas to the field of chip interconnects. The methods of researching and analyzing wireless communication system are adopted, using on-chip antennas and wireless communication ways instead of traditional metal interconnects. By this way, interconnecting between two function modules or two chips could be realized, and some limitation problems of traditional metal interconnects could be solved to a certain extent. This kind of interconnect is called wireless interconnect. Meanwhile, some research focuses on adopting a few or only one traditional microwave transmission lines (MTL (Microwave Transmission Line) or CPW (Coplanar Waveguide)) as the transmission medium, using kinds of modulation and demodulation modes of wireless communication, uploading and downloading signals via coupling capacitors. By this way, the area of traditional metal interconnects could be decreased greatly, and some limitation problems of traditional metal lines partly solved. This kind of interconnect is called RF interconnect.
     Based on prevenient research, this paper proposes a signal channel model and noise model of RF interconnect, analyzes its signal transmission and noise characteristics, equalizes the band-signal noise ratio well by using all kinds of modulation modes synthetically. Meanwhile, aiming at the miniaturation, higher gain, low loss, and good directional property of on-chip antennas, several kinds of on-chip antennas applicable in wireless interconnect system are designed. Then, EBG (Electromagnetic Bandgap) and HIS (High Impedance Surface)technologies are used in the design of on-chip antennas and a set of inter-chip wireless interconnect transceiver with on-chip antennas are designed and fabricated. The performance of on-chip antenna and the feasibility of wireless interconnect system are validated. The thesis mainly consists of following research work:
     1. A channel and noise model of RF interconnect system is constructed and analyzed. The model includes the signal transmission performance of channel, noise characteristics including reflecting noise at ports, switching coupling noise and receiver noise etc. Based on the SNR (Signal Noise Ratio) performance, in order to realize a good balance between bandwidth and SNR, CDMA (Code Division Multiple Access) and MPSK (Multiple Phase Shift Keying) modulation modes are used in this model synthetically and then the performance of whole system is improved.
     2. In order to improve the performance of wireless interconnect system, a series of miniaturized, higher gain, lower loss, higher directional on-chip antennas are designed and realized. We adopt standard 0.18um CMOS technology to design and fabricate on-chip slot antenna, which proves that it is feasible to design and realize these on-chip antennas under the restriction of standard CMOS rules. The low resistivity silicon substrate ( 10Ω?cm) is used to design on-chip slot antennas. The simulation results show that on-chip slot antennas have higher intra-chip transmission gain than normal on-chip dipole under the same condition. Meanwhile, the same CMOS technology is used to design and realize on-chip folded antennas, which minimize the area of antennas while improve the gain of antennas. Two pair on-chip hackle directional antennas are designed and simulated. Compared with the two pair of antennas, good directional characteristics are obtained and thus the back-end radiation is decreased, reducing the bad impact to the circuits.
     3. In order to improve the transmission gain and reduce the impact of standard CMOS low resistivity silicon substrate of on-chip antennas, HIS structure is used in our designs. As the application of artificial magnetic conductor (AMC) of HIS structure, the mirror current direction of on-chip dipole is the same as the dipole’s and reduce the substrate loss. At the same time, the application of loaded MIM (Metal Insulator Metal) capacitor on HIS decreases the area of HIS farther and saves the chip area. Meanwhile, EBG structure is also applied in our design to tune the input impedance of on-chip antenna, which greatly decreases the on-chip dipole size and restrains the high-order harmonics.
     4. By TSMC 0.18um technology, an inter-chip wireless interconnect transceiver operating on single frequency is designed and realized. This system operates on 20GHz. The transmitter includes VCO (voltage control oscillator), class E power amplifier (PA) and on-chip dipole with loaded MIM capacitor HIS. The receiver includes on-chip dipole with loaded MIM capacitor HIS and LNA (low noise amplifier) with output buffer. Measured results show that this system works well and prove the good performance of on-chip dipole with loaded MIM capacitor HIS.
引文
[1] Chang M. F., Roychowdhury V. P., Zhang L. Y., Hyunchol S., Qian Y. Q.,“RF/wireless interconnect for inter- and intra-chip communications,”Proceeding of the IEEE, 2001, 89(4): 456– 466
    [2] Floyd B.A.,“A CMOS Wireless Interconnect System For Multigigahertz Clock Distribution,”2001,PH.D dissertation, University of Florida,
    [3] Chang M.F., Shin H.,“1.1 Gbit/s RF-interconnect based on 10 GHz RF-modulation in 0.18pm CMOS,”. Electronics Letters, 2002, 38(2): 71 - 72
    [4] Tam S.-W., Socher E., Wong A., Chang M.-C. F.,“A Simultaneous Tri-band On-Chip RF-Interconnect for Future Network-on-Chip,”VLSI Circuits, 2009 Symposium on, 2009: 90– 91
    [5] Chang M.F., Cong J., Kaplan A., Naik M., Reinman G., Socher E., Tam S.-W.,“CMP Network-on-Chip Overlaid With Multi-Band RF-Interconnect,”High Performance Computer Architecture, 2008. HPCA 2008. IEEE 14th International Symposium on, 2008: 191 - 202
    [6] Chang M. F., Verbauwhede I., Chien C., Xu Z. W., Kim J. S., Ko J., Gu Q.; Lai B.-C.,“Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications,”IEEE Transactions Electron Devices, IEEE Transactions on Electron Devices, 2005, 52(7):1271 - 1285
    [7] Socher E., Chang M.-C.F,“Can RF Help CMOS Processors,”Communications Magazine, IEEE, 2007, 45(8): 104– 111
    [8] Lee J.. Kim. H., Ahn S., Kim N., Choi B., Kam D., Kim J., Ryu W. ,“RF Interconnect for Multi-Gbit/s Board-Level Clock Distribution,”Advanced Packaging, IEEE Transactions on, 2000, 23(3): 398 - 407
    [9] Chen K.-N., Kobrinsky M.J., Barnett B.C.,Reif R.,“Comparisons of Conventional, 3-D, Optical, and RF Interconnects for On-Chip Clock Distribution,”Electron Devices, IEEE Transactions on, 2004, 5(2): 233– 239
    [10] Kim J., Qian Y., Feng G., Ma P., Judy, J., Chang M.F., Itoh T.,“A Novel Low-Loss Low-Crosstalk Interconnect for Broad-Band Mixed-Signal Silicon MMIC’s,”Microwave Theory and Techniques, IEEE Transactions on, 1999, 47(9): 1830 - 1835
    [11] Kazior T.E., Atkins H.N., Fatemi A. Chen Y., Colomb F.Y., Wendler J.P.,“DBIT– Direct Backside Interconnect Technology: A Manufacturable, Bond Wire Free Interconnect Technology for Microwave and Millimeter Wave MMICs,”Microwave Symposium Digest, 1997, IEEE MTT-S International. 1997: 723 - 726 Vol.2
    [12]Jeong I.-H., Kwon Y.-S.,“Monolithic Implementation of Coaxial Line on Silicon Substrate,”Microwave and Guided Wave Letters, IEEE [see also IEEE Microwave and Wireless Components Letters, 2000, 10(10):406 - 408
    [13] Sun M., Zhang Y. P.,“Performance of Inter-Chip RF-Interconnect Using CPW, Capacitive Coupler, and UWB Transceiver,”IEEE.Transactions on Microwave Theory and Techniques, 2005, 53(9):2650– 2655
    [14] Jiang L., Mao J.F., Yin W.-Y.,”Performance of A Multi-Port Multi-Channel RF-Interconnect Model,”2007, Asia Pasific Microwave Conference, 2007: 1-4
    [15] Chen J., He L.,“Modeling and Synthesis of Multi-Port Transmission Line for Multi-Channel Communication,”IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25(9):1664– 1676
    [16] Rahaman M.S., Chowdhury M.H.,“Time Diversity Approach for Intra-Chip RF/Wireless Interconnect Systems,”Circuits and Systems, 2008. ISCAS 2008. IEEE International Symposium on, 2008:2434 - 2437
    [17]O K.K., Kihong Kim, Floyd B.A., Mehta J.L., Hyun Yoon, Chih-Ming Hung, Bravo D., Dickson, T.O., Xiaoling Guo, Ran Li, Trichy N., Caserta J., Bomstad W.R., Branch J., Dong-Jun Yang, Bohorquez J., Seok E., Li Gao, Sugavanam A., Lin J.-J., Jie Chen, Brewer J.E.,“On-Chip Antennas in Silicon ICs and Their Application,”Electron Devices, IEEE Transactions on, 2005, 52(7):1312 - 1323
    [18]Floyd B.A., Hung Chih-Ming, O K.K.,“Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers, and Transmitters,”IEEE Journal of Solid-State Circuits, 2002, 37(5): 543– 552
    [19] Dickson T., Floyd B., O K.,“Jitter in a Wireless Clock Distribution System,”Interconnect Technology Conference, 2002. Proceedings of the IEEE 2002 International, 2002:154– 156
    [20] Bravo D., Hyun Yoon, Kihong Kim, Floyd B., O K.K.,“Estimation of the Signal-to-Noise Ratio for On-Chip Wireless Clock Signal Distribution,”Interconnect Technology Conference, 2000. Proceedings of the IEEE 2000 International, 2000:9 - 11
    [21]Lin J.-J., Wu H.-T., Su Y., Gao L. Sugavanam A., Brewer J.E, O K.K.,“Communication Using Antennas Fabricated in Silicon Integrated Circuits,”IEEE Journal of Solid-State Circuits, 2007, 42(8,): 1678– 1687
    [22] Natarajan A., Komijani A., Guan X., Babakhani A., Wang Y., Hajimiri A.,“A 77-GHz Phased-Array Transceiver with On-Chip Antennas in Silicon: Receiver and Antennas,”in IEEE Int. Solid- State Circuits Conf. ISSCC 2006, 2006: 639– 648,
    [23]Natarajan, A., Komijani, A., Xiang Guan, Babakhani, A., Hajimiri, A.,“A 77-GHz Phased-Array Transceiver With On-Chip Antennas in Silicon: Transmitter and Local LO-PathPhase Shifting,”Solid-State Circuits, IEEE Journal of, 2006, 41(12): 2807– 2819
    [24] Miura N., Mizoguchi D., Inoue M., Sakurai T., Kuroda T.,“A 195-Gb/s 1.2-W Inductive Inter-Chip Wireless Superconnect With Transmit Power Control Scheme for 3-D-Stacked System in a Package,”Solid-State Circuits, IEEE Journal of, 2006, 41(1): 23 - 34
    [25] Kumar A., Miura N., Muqsith M., Kuroda T.,“Active Crosstalk Cancel for High-Density Inductive Inter-Chip Wireless Communication,”VLSI Design, 2006. Held jointly with 5th International Conference on Embedded Systems and Design, 19th International Conference on, 2006 :6 pp.
    [26] Iwata A., Sasaki M., Kikkawa T., Kameda S., Ando H., Kimoto K., Arizono D., Sunami H.,“A 3D Integration Scheme utilizing Wireless Interconnections for Implementing Hyper Brains,”Solid-State Circuits Conference, 2005. Digest of Technical Papers. ISSCC. 2005 IEEE International, 2005:262 - 597 Vol. 1
    [27]Gu Q., Xu.Z., Kim J., Ko J., Chang M.F.,“Three-Dimensional Circuit Integration Based on Self-synchronized RF-Interconnect Using Capacitive Coupling.”VLSI Technology, 2004. Digest of Technical Papers. 2004 Symposium on, 2004:96 - 97
    [28] Gu Q., Xu Z.., Ko J., Hsien S., Chang M.F.;“A Self-Synchronized RF-Interconnect for 3-D Dimensional Integrated Circuits,”Circuits and Systems, 2004. ISCAS '04. Proceedings of the 2004 International Symposium on, 2004: IV - 317-20 Vol.4
    [29] Sun M., Zhang Y. P., Zheng G. X., and Yin W.-Y.,“Performance of Intra-chip Wireless Interconnect Using On-chip Antennas and UWB Radios,”IEEE Transactions on Antennas and Propagation, 2009, 57(9): 2756– 2762
    [30] Zhang Y. P., Chen Z. M., Sun M.,“Propagation Mechanisms of Radio Waves over Intra-Chip Channels为ith Integrated Antennas: Frequency-Domain Measurements and Time-Domain Analysis,”IEEE Transactions on Antennas and Propagation, 2007, 55(10): 2900– 2906
    [31] Zhang Y.P.,“Bit-Error-Rate Performance of Intra-Chip Wireless Interconnect Systems,”IEEE Communications Letters, 2004, 8 (1): 39– 41.
    [32]Kim K., Bomstad W., O.K.K..,“A Plane Wave Model Approach to Understanding Propagation in an Intra-chip Communication System,”Antennas and Propagation Society International Symposium, 2001. IEEE, Volume 2, 8-13 July 2001 Page(s):166 - 169 vol.2
    [33] Kim K., Yoon H., O.K.K..,“On-Chip Wireless Interconnection with Integrated Antennas,”Electron Devices Meeting, 2000. IEDM Technical Digest. International , 2000: 485– 488
    [34]Guo X., Caserta J., Li R., Floyd B., O K.O.,“Propagation Layers for Intra-Chip Wireless Interconnection Compatible with Packaging and Heat Removal,”VLSI Technology, 2002. Digest of Technical Papers. 2002 Symposium on, 2002: 36 - 37
    [42] Branch J., Guo X., Gao L., Sugavanam A., Lin J.-J., O K.K.,“Wireless Communication in a Flip-Chip Package Using Integrated Antennas on Silicon Substrates,”Electron Device Letters, IEEE, 2005, 26(2):115 - 117
    [35] Rashid H.-U., M.A.B., Watanabe S., Kikkawa T., Guo X., O K.K.,“Interference Suppression of Wireless Interconnection in Si Integrated Antenna,”Interconnect Technology Conference, 2002: 173– 175
    [36] Kimoto K., Kikkawa T.,“Data Transmission Characteristics of Integrated Linear Dipole Antennas for UWB Communication in Si ULSI,”IEEE Antennas and Propagation Society International Symposium, 2005: 678– 681 Vol. 18
    [37]Seok E., O. K. K.,”Design Rules for Improving Predictability of On-Chip Antenna Characteristics in the Presence of Other Metal Structures,”IEEE Interconnect Technology Conference, 2005 :120– 122
    [38] Guo X.L., Li R., O K.K.,“Design Guidelines for Reducing the Impact of Metal Interference Structures uu the Performance On-chip Antennas,”Antennas and Propagation Society International Symposium, 2003,IEEE, 2003: 606 - 609 Vol.1
    [39] Kikkawa T., Kimoto K., Watanabe S.,“Ultrawideband Characteristics of Fractal Dipole Antennas Integrated on Si for ULSI Wireless Interconnects,”IEEE Electron Device Letters, 2005, 26(10) : 767– 769
    [40] Yoon H., Kim K., O K.K.,“Interference Effects on Integrated Dipole Antennas by a Metal Cover for an Integrated Circuit Package,”IEEE Antennas and Propagation Society International Symposium, 2000: 782 - 785 Vol. 2,
    [41] Zhang Y.P., Sun M., Guo L.H.,“On-Chip Antennas for 60-GHz Radios in Silicon Technology,”IEEE Transactions on Electron Devices, 2005, 52(7): 1664 - 1668
    [42] Sedaghat-Pisheh H., Shahabadi M., Mohajerzadeh S., Rabbani M.,“Floating broad-band CPW-fed on-chip spiral antenna using silicon micromachining,”Infrared Millimeter Waves and 14th International Conference on Teraherz Electronics, 2006. IRMMW-THz 2006. Joint 31st International Conference on, 2006: 276 - 276
    [43] Ojefors E., Grenier K.,Mazenq L., Bouchriha F., Rydberg A., Plana R.,“Micromachined inverted F antenna for integration on low resistivity silicon substrates,”Microwave and Wireless Components Letters, IEEE, 2005, 15(10): 627 - 629
    [44] Sedaghat-Pisheh H., Naghshi M., Rashed-Mohassel J., Shahabadi M., Aliakbarian H., Razavi F.,“Genetic Alogrithm Optimization of a CPW-Fed On-Chip Slot Loop Antenna on Photonic Crystal Substrate Using Silicon Micromachining,”Radio and Wireless Symposium, 2007 IEEE, 2007:177 - 180
    [45] Haridas N., Erdogan A.T., Walton A.J., Arslan T.,“Simulating Photonic Bandgap Antennas onSilicon Substrate,”Antennas, Propagation & EM Theory, 2006. ISAPE '06. 7th International Symposium on, 2006: 1 - 4
    
    [1] Chang M. F., Roychowdhury V. P., Zhang L. Y., Hyunchol S., Qian Y. Q.,“RF/wireless interconnect for inter- and intra-chip communications,”Proceeding of the IEEE, 2001, 89(4): 456– 466
    [2] Arai H. and Itoh T.,“Proximity coupled power combiner/divider using parasitic element,”in 1997 Asia–Pacific Microwave Conference Digest, 1997:1133–1136
    [3] Frankel M. Y. et al.,“Terahertz attenuation and dispersion characteristics of coplanar transmission lines,”IEEE Transactions Microwave Theory and Techniques, 1991: Vol. 39
    [4] Gupta K. C. et al., Computer-Aided Design of Microwave Circuits. Norwell, MA: Artech House, 1981: Vol.69.
    [5] Lin J.-J., Wu H.-T., Su Y., Gao L. Sugavanam A., Brewer J.E., O K.K.,“Communication Using Antennas Fabricated in Silicon Integrated Circuits”, IEEE Journal of Solid-State Circuits, 2007, 42(8): 1678– 1687
    [6] Sun M., Zhang Y. P., Zheng G. X., and Yin W.-Y.,“Performance of Intra-chip Wireless Interconnect Using On-chip Antennas and UWB Radios,”IEEE Transactions on Antennas and Propagation, 2009, 57(9): 2756– 2762
    [7] Farjad-Rad R., Yang K., Horowitz M., and Lee T.,“A 0.4 mm CMOS 10Gb/s 4-PAM pre-emphasis serial link transmitter,”in Symp. VLSI Circuits Digest Tech. Papers, 1998: 198–199.
    [8] Chang M. F., Verbauwhede I., Chien C., Xu Z.W., Kim J.S., Ko J., Gu Q., Lai B.-C.,“Advanced RF/baseband interconnect schemes for inter- and intra-ULSI communications,”IEEE Transactions Electron Devices, 2005, 52(7): 1271 - 1285
    [9] Floyd B.A.,Hung Chih-Ming, O K.K.,“Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers, and Transmitters,”IEEE Journal of Solid-State Circuits, 2002, 37(5): 543– 552
    [10] O K.K., Kim Kihong, Floyd B.A.,Mehta J.L.,Yoon Hyun, Hung Chih-Ming, Bravo D., Dickson T.O., Guo X.L, Li R., Trichy N., Caserta J., Bomstad W.R., Branch J., Yang D.-J., Bohorquez J., Seok E., Gao L., Sugavanam A., Lin J.-J., Chen J., Brewer J.E.,”On-Chip Antennas in Silicon ICs and Their Application”, IEEE Transactions on Electron Devices, 2005, 52(7): 1312 - 1323
    [1] Chang R. T., Talwalkar N., Yue C. P., and Wong S. S.,“Near speed-oflight signaling over on-chip electrical interconnects,”IEEE Journal of Solid-State Circuits, 2003: 834–838 Vol. 38
    [2] Wood J., Edwards T., and Lipa S.,“Rotary traveling-wave oscillator arrays: a new clock technology,”IEEE Journal of Solid-State Circuits, 2001: 1654–1665 Vol.36,
    [3] Chi V.,“Salphasic distribution of clock signals for synchronous systems,”IEEE Transactions on Computers, 1994: 597–602 Vol. 43.
    [4] O’Mahony F., Yue C., Horowitz M., and Wong S.,“A 10-GHz global clock distribution using coupled standing-wave oscillators,”IEEE Journal of Solid-State Circuits, 2003: 13–21 Vol. 38
    [5] Chen J., He L.,“Modeling and Synthesis of Multi-Port Transmission Line for Multi-Channel Communication,”IEEE Transactions on Computer-Aided Design of Integrated Circuits and Systems, 2006, 25(9): 1664– 1676.
    [6] Saint-Laurent M., Ajmal Z., Swaminathan M., Meindl J. D.,“A model for interlevel coupling noise in multilevel interconnect structures,”Interconnect Technology Conference, 2001, Proceedings of the IEEE 2001 International, 2001: 110– 112
    [7] Lu J. H., Letaief K. B., Chuang J.C.-I., Liou M. L.,“M-PSK and M-QAM BER computation using signal-space concepts,”IEEE Transactions Commnications, 1999, 47(2): 181– 184
    [1]约翰.克劳斯“天线”章文勋译,电子工业出版社
    [2] Mayes P.,”Balanced Backfire Zigzag Antennas”IRE International Convention Record, 1964 :12(2) 153 - 165
    [3] Joannopouls J.D., Meade R.D., and Winn J.N..“Photonic crystals, Molding the Flow of Light,”Princeton,NJ:Princeton University Press,1995
    [4] Yablonovitch E.“Photonic bandgap structures,”J.Optical Soc.America B, 1993: 283-295 Vol.10
    [5] Radistic V.et al., 1998 IEEE MTT-S Int. Microwave Symp. Dig, 1998: 1895-1898
    [6] Radisic V.et al., IEEE Trans. MTT., 1998, 46(11): 1901-1909
    [7].John S.,“Localization of photon in certain disorder dielectric suoerlattices,”Phys. Rev.Lett., 1987,.58(23): 2846-2849
    [8] Yablonovitch E.,“Inhibited spontaneous emission in solid-state physics and electronics,”Phys. Rev. Lett., 1987, 58(20): 2059-2062
    [9] Satoathy S,, Zhang Z., Salehpour M.R.,“Theory of photon bands in three-dimensional periodic dielectric structure,”Phys. Rev. Lett., 1990, 64(11): 1239-1242
    [10]Caloz C.and Itoh T.,“Multilayer and anisotropic planar compact PBG structure for microstrip application,”IEEE trans. MTT., 2002, 50(9): 2206-2208,
    [11] Hok M ., Chan C.T., Soukoulis C.M., Phys. Lett., 1990: 3125-3155 Vol.65
    [12] Pendry J. B., Mackinnon A., Phys. Lett., 1992: 2772-2775 Vol.69. [13 Yang H.Y.D.,”Finite difference analysis of 2-D photonic crystals,”IEEE Trans. MTT., 1996, 44(12): 2688-2695
    [14] Chan C.T., Yu Q.L., Ho K.M., Phys. Rev.B, 1995: 16635-16642 Vol.51
    [15]Sievenpiper D., Zhang L.J., Broas R.F.J, Alexopolous N.G, Yablonovitch E.,“High-impedance electromagnetic surfaces with a forbidden frequency band,”IEEE Transactions on Microwave Theory and Technqiues 1999, 47(11): 2059– 2074
    [16] Balanis C.,“Antenna Theory, Analysis, and Design,”2nd ed. New York: Wiley, 1997
    [17] Ramo S., Whinnery J., and Duzer T. Van,“Fields and Waves in Communnication Electronics,”2nd ed. News York: Wiley, 1984
    [18] Collin R.,“Field Theory of Guided Waves,”2nd ed. New York: IEEE Press,1991
    [19]Brillouin L.,“Wave Propagation in Periodic Structures; Electric Filters and Crystal Lattices,”2nd ed. New York: Dover, 1953.
    [20] Yang F., Aminian Amir, Rahmat-Samii Yahya,“A novel surface-wave antenna design using a thin periodically loaded ground plane”Microwave and Optical Technology Letters 2005, 47(3): 240-245
    [21] Zhan S., J. W. R., Song J.,“Effects of Frequency Selective Surface (FSS) on Enhancing the Radiation Efficiency of Metal-Surface Mounted Dipole Antenna,”in IEEE/MTT-S International Microwave Symposium, 2007: 1659-1662
    [1] Floyd B.-A. and O K. K.,“A 23.8-GHz SOI CMOS tuned amplifier,”IEEE Transactions on Microwave Theory and Techniques, 2002, 50 (9): 2193–2196
    [2] Hung C.-M., Shi L.,Lagnado I., and O K. K.,“A 25.9-GHz voltagecontrolled oscillator fabricated in a CMOS process,”in 2000 Symp. VLSI Circuits Dig. Tech. Papers, Honolulu, HI, 2000: 100–101.
    [3] Franca-Neto L. M., Bishop R., and Bloechel B.,“64 GHz and 100 GHz VCOs in 90 nm using optimum pumping method,”in IEEE Int. Solid- State Circuits Conf. (ISSCC) 2004 Dig. Tech. Papers, San Francisco, CA, 2004: 444–445.
    [4] Wetzel M., Shi L., Jenkins K., de la Houssaye P. R., Taur Y., Asbeck P., and Lagnado I., IEEE Microwe Guided Wave Letters, 2000, 10(10): 421–423.
    [5] Doan C. H., Emami S., Niknejad A. M., and Brodersen R. W.,“Millimeter-wave CMOS design,”IEEE Journal of Solid-State Circuits, 2005, 40(1): 144–155
    [6] Cao C. andO K. K.,“192-GHz push-push VCO in 0.13ujm CMOS,”Electron. Letters, 2006, 42(4): 208–210.
    [8] Seok E., Cao C., Sankaran S., and O K. K.,“A millimeter-wave Schottky diode detector in 130-nm CMOS technology,”in 2006 Symp. VLSI Circuits Dig. Tech. Papers, 2006: 142–143.
    [9] Marcu C., Chowdhury D., Thakkar C., Kong L.-K., Tabesh M., Park J.-D., Wang Y.J., Afshar B., Gupta A., Arbabian A., Gambini S., Zamani R., Niknejad A.M., Alon E.,“A 90nm CMOS low-power 60GHz transceiver with integrated baseband circuitry,”in IEEE Int. Solid- State Circuits Conf. 2009. ISSCC IEEE International, 2009: 14 - 315,315a.
    [10] Lee J., Huang Y.L.,Chen Y., Lu H., Chang C.,“A low-power fully integrated 60GHz transceiver system with OOK modulation and on-board antenna assembly,”in IEEE Int. Solid- State Circuits Conf. 2009. ISSCC IEEE International, 2009: 316 - 317,317a
    [11] Kawano Y., Suzuki T., Sato M., Hirose T., Joshin K.,“A 77GHz transceiver in 90nm CMOS,”in IEEE Int. Solid- State Circuits Conf.2009. ISSCC IEEE International, 2009: 310 - 311,311a
    [12]Floyd B.A.,Hung Chih-Ming, O K.K.,“Intra-Chip Wireless Interconnect for Clock Distribution Implemented With Integrated Antennas, Receivers, and Transmitters,”IEEE Journal of Solid-State Circuits, 2002, 37(5): 543– 552
NGLC 2004-2010.National Geological Library of China All Rights Reserved.
Add:29 Xueyuan Rd,Haidian District,Beijing,PRC. Mail Add: 8324 mailbox 100083
For exchange or info please contact us via email.