高k栅介质MOS器件的特性模拟与实验研究
详细信息    本馆镜像全文|  推荐本文 |  |   获取CNKI官网全文
摘要
随着半导体工艺技术的不断进步,金属氧化物半导体场效应晶体管(MOSFET)的特征尺寸也在不断的减小。当传统的SiO_2栅介质层厚度减小到几个原子尺度大小时,由于量子隧穿效应的影响,SiO_2将失去介电特性,使MOS器件的栅极漏电和静态功耗急剧的增加。为此,采用高介电常数(高k)栅介质取代SiO_2成为了必然趋势。然而,当高k栅介质的物理厚度变得可以和器件的沟道长度相比拟时,除了短沟道效应(SCE)、漏致势垒降低效应(DIBL)外,边缘场效应对MOSFET阈值电压的影响也越来越严重。针对这些现象,本文主要在理论和实验两个方面对高k栅介质MOSFET的特性和高k栅介质材料的制备进行了研究。在理论方面,研究了高k栅介质对于MOS器件的影响,建立起考虑这些效应的阈值电压模型。在实验方面,采用原子层淀积(ALD)技术生长高k栅介质薄膜,并对其物理和电学特性做了详细的分析。
     首先,本文对高k栅介质纳米尺度MOS器件的特性进行了研究。随着MOSFET特征尺寸的不断减小,不断涌现出一些新的物理现象(如:栅极漏电增加、SCE等)弱化MOS器件的性能。同时,为了减小栅漏电流而使用的高k栅介质由于其较大的物理厚度引入了一种边缘感应势垒降低(FIBL)效应,增加器件的关态漏电流,极大地退化了器件的关态特性。本文提出一种等效耦合电容理论,可以很好地解释FIBL效应背后的物理机制,帮助更好地理解这种高k栅介质引入的边缘效应。通过优化器件结构(采用低介电常数材料作为侧墙介质、较短的侧墙长度、较低的结深和较短的栅/LDD交叠区长度等)可以很好的抑制FIBL效应,改善器件的关态特性。同时利用提出的等效耦合电容理论,研究了叠栅结构对于FIBL效应的抑制作用,发现采用低介电常数材料作为底层材料时,对于FIBL效果的抑制作用更好。和其他关于FIBL效应的研究相同,漏极关态电流被来表征FIBL效应对于器件关态特性的影响。但是,在一些特殊的情况下,这种方法会出现一些很难解释的现象。于是,本文又提出了一种新的研究关态漏电流的方法。通过把关态漏电流分为源漏电流、衬底漏电流和栅漏电流三部分,分别研究了高k栅介质以及漏端电压对于各关态漏电流组成部分的影响。结果表明,对于实际应用中的高k栅介质纳米MOSFET来说,以亚阈值漏电流为主体的源极漏电流成为了关态漏电流的主要组成部分。相比较传统的单以漏端关态电流作为表征关态漏电的方法,本文提出的方法可以更好帮助研究和理解纳米高k栅MOSFET的关态漏电流特性。
     归根结底,高k栅介质MOSFET关态漏电流的变化主要是因为阈值电压波动引起的,所以对于高k栅介质MOSFET阈值电压的研究也尤为重要。本文考虑LDD区较低的内建电势以及LDD区的压降,通过解二维泊松方程,得到一种高k栅MOSFET阈值电压模型。基于这个模型,分别研究了FIBL效应和DIBL效应对于阈值电压的影响。通过比较LDD MOSFET和非LDD MOSFET的阈值电压漂移量发现,LDD MOSFET的阈值电压受沟道长度、漏端电压以及栅介电常数的影响较小。相比较非LDD MOSFET,LDD MOSFET拥有着较强的抵抗FIBL效应和SCE的能力。同时,为了解决多晶硅栅耗尽等问题,金属栅的引入需要对金属栅/高k栅介质MOSFET的阈值电压进行建模研究。本文研究了金属栅/高k栅介质/SiO_2/Si交叠结构对于阈值电压的影响,考虑金属栅极功函数的漂移以交叠结构对于平带电压的影响,通过边界条件求解二维表面势分布的泊松方程方程,得到金属栅/高k栅介质/SiO_2/Si交叠结构MOSFET的阈值电压模型。然后主要对阈值电压的模型进行了分析和讨论。这两个阈值电压模型都通过数值仿真验证,验证结果表明理论模型计算结果和模拟结果二者吻合良好。
     实验方面,为了解决HfO_2材料的热稳定问题,采用原子层淀积(ALD)设备,通过交叠生长HfO_2和Al_2O_3的方式制备了HfAlO高k栅介质薄膜,并研究了HfAlO薄膜的物理和电学性质。我们分析了采用TMA+H_2O和TEMAH+H_2O生长HfAlO薄膜的原理,得到了四个半反应,并根据这四个半反应得到HfAlO薄膜生长中的重复单元Al-O-Hf-O (Hf-O-Al-O),这种新的键合方式使得淀积的薄膜集成了HfO_2和Al_2O_3的优点,并且薄膜的特性也随着Hf和Al的不同组分变化。然而,随着单个重复单元中Hf和Al脉冲数目的增加,HfAlO薄膜的特性越来越趋近于HfO_2和Al_2O_3简单的叠加。除了使用H_2O以外,我们还使用了O_3作为氧化剂制备了HfAlO栅介质薄膜,从分析中可以看出使用O_3作为氧化剂生长的HfAlO薄膜,其组成结构和键合方式与使用H_2O生长的HfAlO薄膜相同。但由于O_3的强氧化性,所生长的HfAlO薄膜在电特性方面和采用H_2O生长的薄膜有了一定区别,具体表现在C-V曲线的漂移和低介电常数界面层的生长,而后者直接导致所生长栅介质薄膜介电常数的降低,但是热退火的使用在一定程度上会改善HfAlO栅介质薄膜的特性。
With the continuous development of semiconductor technology, the feature size ofthe metal-oxide-semiconductor field-effect transistor (MOSFET) is also continuouslyscaling down. However, gate leakage current and static power consumption increasedramatically due to quantum tunneling effect as the thickness of conventional SiO_2gatedielectric is reduced to the level of several atomic layers. To overcome these problems,the use of the high dielectric constant (high-k) gate dielectric to replace SiO_2hasbecome an inevitable trend. However, when the physical thickness of the high-k gatedielectric becomes comparable to the channel length of the device, influence of thefringing-induced barrier lowering (FIBL) effect on the threshold voltage becomes moreand more serious besides short-channel effect (SCE) and drain-induced barrier lowering(DIBL) effect. Aiming at the above problems, theoretical and experiment workinvolving the characteristics of MOSFET with high-k gate dielectric and preparation ofhigh-k gate dielectric materials is respectively performed to find relevant solutions inthis thesis. Theoretically, the influences of high-k gate dielectric on the characteristics ofMOSFET are studied, and threshold voltage model is established by considering theseparasitic effects. Experimentally, atomic layer deposition (ALD) technology is used todeposit high-k gate dielectric materials, and the physical and electrical properties ofthese materials are analyzed in detail.
     The characteristics of nano-scale MOSFET with high-k gate dielectric areinvestigated. With the aggressive reduction of feature size of MOSFET, some physicalphenomena (such as: gate leakage current, SCE, etc.) continuously occur to weaken theperformance of MOSFET. Meanwhile, the use of high-k gate dielectric introduces anfringing-induced barrier lowering (FIBL) effect, which can greatly degrade the off-statecharacteristics of MOSFET. An equivalent coupling capacitance theory is presented tohelp understanding the FIBL effect, and can explain the physical mechanism behind theFIBL effect better. By optimizing the device structure (adopting low-k sidewallmaterials, shorter sidewall length, lower junction depth and shorter gate/LDDoverlapped region length, etc.), the FIBL effect can be well suppressed, and the off-satecharacteristics are improved. The FIBL effect can also be suppressed by using stackedgate structure, especially, when the underlying material using a low-k material. Similarto other studies on the FIBL effect, off-state leakage current is used to characterize theeffects of FIBL. However, in some special cases, there are some phenomenona which are difficult to explain by this method. Thus, a new research method of off-state leakagecurrent is presented. The total leakage current is divided into three parts: source leakagecurrent, substrate leakage current and gate leakage current. The influences of FIBLeffect and DIBL effect on each component are investigated respectively. The resultsshow that, for the practical application of nano-scale MOSFET with high-k gatedielectric, the source leakage current becomes a major component of the total leakagecurrent. The proposed method can help studying and understanding the off-statecharacteristics of nano-scale MOSFET with high-k gate dielectric.
     Ultimately, the changes in the off-state leakage current of high-k MOSFET arecaused by threshold voltage fluctuations. So, it is particularly important to study thethreshold voltage behavior of high-k MOSFET. The study investigates the influence ofthe voltage drop across the lightly-doped-drain (LDD) region and the built-in potentialon MOSFET, a threshold voltage model for high-k gate dielectric MOSFET isdeveloped by solving the2D Poisson’s equation. The model can predict the FIBL effectand the SCE. Based on this model, the relationship between the threshold voltageroll-off and the channel length, drain voltage and the gate dielectric permittivity isinvestigated. Compared with the non-LDD MOSFET, the LDD MOSFET depends thechannel length, the drain voltage and gate dielectric permittivity lightly. Meanwhile, inorder to solve the problem of poly-silicon gate depletion, the introduction of metal gateneeds to establish a new threshold voltage model for high-k/metal gate MOSFET. Thestudy investigates the influences of the metal-gate and high-k/SiO_2/Si stacked structureon MOSFET. The flat-band voltage is revised by considering the influences of stackedstructure and metal-semiconductor work function fluctuation. A threshold voltageanalytical model for metal-gate/high-k/SiO_2/Si stacked MOSFET is developed bysolving these Poisson’s equations using the boundary conditions. These two models areverified by numerical simulation, the results show that the theoretical model calculationresults and simulation results are in good agreement.
     Experimentally, in order to improve the thermal stability of HfO_2gate dielectric,HfAlO thin film is deposited using atomic layer deposition (ALD) technology byalternating the growth of HfO_2and Al_2O_3. The physical characteristics and electricalproperties of the HfAlO film deposited on p-type (100) Si substrates are investigated.The growth principle of HfAlO using TMA+H_2O and TEMAH+H_2O is analyzed. Theformation of Al-O-Hf-O (Hf-O-Al-O) bond in the film is revealed to impact thecharacteristics of Hf-Al-O films. And the properties of the deposited film vary with thechanges in the proportion of Hf and Al. With the number of cycles pulsed of Al_2O_3and HfO_2in one bilayer, the influences of Al-O-Hf-O (Hf-O-Al-O) unit on thecharacteristics of the Hf-Al-O film are gradually weakened. The behavior of depositedfilm gradually becomes similar to that of a simple stack of HfO_2and Al_2O_3. In additionto H_2O, O_3is also used as the oxidant to deposite the HfAlO gate dielectric film. It canbe seen from the analysis results, there are no differences in the composition andbonding manner of the HfAlO thin film prepared by the O_3and the H_2O as oxidantrespectively. Due to the strong oxidizing nature of the O_3, an obvious shift of C-V curveis observed and a low dielectric constant interfacial layer is formed, leading to a smalldielectric constant of deposited HfAlO gate dielectric film. However, the annealingprocess can improve the electrical characteristics.
引文
[1.1] Kingon AI, Maria JP, Streiffer SK, Altemative dieleetrics to silieon dioxide formemory and logic devices, Nature,2000,406:1032.
    [1.2] Paekan PA, PersPeetives: Device Physics–Pushing the limits, Science,1999,285:2079.
    [1.3] Moore GE, Cramming more components onto integrated circuits, Electronics,1965,38:114-117.
    [1.4] Solomon PM, Device innovation and material challenges at the limits ofCMOS technology, Annual Review of Materials Science,2000,30:681.
    [1.5] Meindl JD, Davis JA, Patel CS, et al., Interconnect opportunities for gigascaleintegration, IBM Journal of Research and Development,2002,46:245.
    [1.6] Dennard RH, Gaensslen FH, Ridcout VL, et al., Design of ion-implantedMOSFET with very small physical dimensions, IEEE Solid Circuits,1974,256.
    [1.7] Sze SM, Modern semiconductor device physicas, New York: John Wiley&Sons, Inc1998.
    [1.8] Davari B, Dennard RH, Shahidi GG, CMOS scaling for high-performance andlow-power the next ten years, Proc. IEEE,1995,89:595.
    [1.9] Chatterjee PK, The impact of scaling laws on the choice of n-channel orp-channel for MOS VLSI, IEEE Electron. Dev. Lett.,1980,1:220.
    [1.10] Wong S, Salama CA, Impact of scaling on MOS analog performance, IEEE J.Solid-State Circuits,1983,18:106.
    [1.11] Chitchlow DL, MOSFET scaling-the driver of VLSI technology, P. IEEE,1999,87:659.
    [1.12] Wong HSP, Frank DJ, Solonmon PM, et al., Nanoscale CMOS. P. IEEE,1999,87:537.
    [1.13] Frank DJ, Dennard RH, Nowak E, et al., Device scaling limits of Si MOSFETsand their application dependencies, P. IEEE,2001,89:259
    [1.14]肖德元,夏青,陈国庆,MOSFET器件回顾与展望(上),SemiconductorTechnology,2006,31:805.
    [1.15] Demand AL, Erouel M, Lallemand D, et al., Growth related properties ofpentacene thin film transistors with different gate dielectrics, Journal ofNon-Crystalline Solids,2008,3:1598.
    [1.16] Hu CM, Gate oxide scaling limits and projection, IEDM Technology Digest.,1996,319.
    [1.17] Meindl JD, Davis JA, Patel CS, et al., Interconnect opportunities for gigascaleintegration, IBM Journal of Research and Development,2002,46:245.
    [1.18] Wilk GD, Wallace RM, Anthony JM, High-kappa gate dielectrics: Currentstatus and materials properties considerations, Journal of Applied Physics,2001,89:5243.
    [1.19] Huff HR, Gilmer DC, High dielectric constant materials VLSI MOSFETapplications, Germany, Springer,2005,226.
    [1.20]章宁琳,宋志棠,万青,林成鲁,新型高k栅介质材料研究进展,功能材料,2002,33:350.
    [1.21] Robertson J, Band offsets of wide-band-gap oxides and implications for futureelectrionic devices, J. Vac. Sci. Technol. B,2000,18:1785.
    [1.22] Cho MH, Roh YS, Whang CN, et al., Thermal stability and structuralcharacteristics of HfO2films on Si(100) grown by atomic-layer deposition,Appl. Phys. Lett.,2002,81:472.
    [1.23] Wilk GD, Wallace RM, Anthony JM, Hafnium and zirconium silicates foradvanced gate dielectrics, J. Appl. Phys.,2000,87:484.
    [1.24] Hubbard HJ, Schlom DG, Thermodynamic stability of binary oxides in contactwith silicon, J. Mater. Res.,1996,11:2757.
    [1.25] Chen SC, Lou JC, Chien CH, et al., An interfacial investigation ofhigh-dielectric constant material hafnium oxide on Si substrate, Thin SolidFilms,2005,488:167.
    [1.26] Gao KY, Speek F, Emtsev K, et al., Thermal stability of surface and interfacestrueture of atomic layer deposited A12O3on H-terminated silicon, J. Appl.Phys.,2007,102:8.
    [1.27] Xu M, Xu CH, Ding SJ, et al., Spectroseopic and eleetrical properties of atomiclayer deposition A12O3gate dieleetric on surface pretreated Si substrate, J.Appl. Phys.,2006,99:6.
    [1.28] Ye PD, Wilk GD, Yang B, et al., GaAs-Based metal-oxide semieonduetorfield-effect transistors with A12O3gate dielectrics grown by atomie layerdeposition, J. Electron. Materials,2004,33:912.
    [1.29] Kwo J, et al., High epsilon gate dielectrics Gd2O3and Y2O3for silicon, Appl.Phys. Lett.,2000,77:130.
    [1.30] Jo SJ, et al.,5nm thick lanthanum oxide thin films grown on Si(100) by atomiclayer deposition: The effect of post-annealing on the electrical properties, ThinSolid Films,2006,513:253.
    [1.31] Sharma RK, Kumar A, Anthony JM, Advances in high-k dielectric gatematerials for future ULSI devices, Jom-Journal of the Minerals Metals&Materials Society,2001,53:53.
    [1.32] Kakushima K, Tachi K, Adachi M, et al., Advantage of La2O3gate dielectricover HfO2for direct contact and mobility improvement,38thEuropeanSolid-State Device Research Conference,2008,126.
    [1.33] Ng JA, Sugii N, Kakushima K, et al., Effective mobility and interface-statedensity of La2O3nMISFETs after post deposition annealing, Ieice ElectronicsExpress,2006,3:316.
    [1.34] Chiu FC, Lin SA, Lee JYM, Electrical properties of metal-HfO2-silicon systemmeasured from metal-insulator-simiconductor capacitors and metal-insulator-semiconductor field-effect transistors using HfO2gate dielectric,Microelectronics and Reliability,2005,45:961.
    [1.35] Miotti L, et al., Composition, stability and oxygen transport in lanthanum andhafnium aluminates thin films on Si, Nuclear Instruments and Methods inPhysics Research Section B: Beam Interactions with Materials and Atoms,2006,249:366.
    [1.36] Buiu O, et al., Investigation of optical and electronic properties of hafniumaluminate films deposited by metal-organic chemical vapour deposition, ThinSolid Films,2007,515:3772.
    [1.37] Zhu M, et al., Microstructure and electrical properties of Al2O3-ZrO2compositefilms for gate dielectric applications, Thin Solid Films,2005,476:312.
    [1.38] He G, Fang Q, Zhang LD, Structural and interfacial properties of high-kHfOxNy gate dielectric films, Materials Science in Semiconductor Processing,2006,9:870.
    [1.39] Zhu J, Liu ZG, Li YR, HfAlON films fabricated by pulsed laser ablation forhigh-k gate dielectric applications, Materials Lett.,2005,59:821.
    [1.40] Sim JH, Wen HC, Lu JP, et al., Dual work function metal gates using fullnickel silicidation of doped poly-Si, IEEE Electron Device Lett.,2003,24:631.
    [1.41] Fischetti MV, Neumayer DA, Cartier EA, Effective electron mobility in Siinversion layers in metal-oxide-semiconductor systems with a high-k insulator:The role of remote phonon scattering, J. Appl. Phys.,2001,90:4587.
    [1.42] Saito SI, Torii K, Shimamoto Y, et al., Remote-charge-scattering limitedmobility in field-effect transistors with SiO2and Al2O3/SiO2gate stacks, J.Appl. Phys.,2005,98:113706.
    [1.43] Bohr MT, Chau RS, Ghani T, et al., The high-k solution, IEEE Spectrum,2007,44:29.
    [1.44] Mise N, Morooka T, Eimori T, et al., Single metal/dual high-k gate stack withlow Vth and precise gate profile control for highly manufacturable aggressivelyscaled CMOSFETs, In: IEDM Tech. Dig.,2007,527.
    [1.45] Cheng B, Cao M, Rao R, et al., The improve of high-k gate dielectric and metalgate electrodes on sub-100nm MOSFET’s, IEEE Trans. on Electron. Devices,1999,46:1537.
    [1.46] Mohapatra NR, Dutta A, Desai MP, et al., Effect of fringing capacitance in sub100nm MOSFETs with high-k gate dielectrics, In: internation on VLSI Design,India: Institute of Electrical and Electronics Engineers Computer Society,2001,479.
    [1.47] Kencke DL, Chen W, Wang H, et al., Source-side barrier effects with veryhigh-k dielectrics in50nm Si MOSFETs, In: Device research conference digest,USA: IEEE, Piscataway, NJ,1999,22.
    [1.48] Frank DJ, Wong HSP, Analysis of the design space available for high-k gatedielectrics in nanoscale MOSFETs, Super lattices and microstructures,2000,28:485.
    [1.49] Cheng Y, Jeng MC, Liu Z, et al., A physical and scalable I-V model inBSIM3v3for analog/digital circuit simulation, IEEE Trans. Electron Devices,1997,44:277.
    [1.50] Wolf S, The submicron MOSFET, vol.3of Silicon Processing for the VLSIEra, Lattice Press, Sunset Beach, CA,1995.
    [2.1] Tan SY. Challenges and performance limitations of high-k and oxynitride gatedielectrics for90/65nm CMOS technology, Microelectronics Journal,2007,38:783.
    [2.2] Robertson J. High dielectric constant oxides. Eur. Phys. J. Appl. Phys.2004;28:265.
    [2.3] Lee BH, Oh J, Tseng HH, Jammy R, Hff H, Gate stack technology fornanoscale devices, Materials Today2006;9:32.
    [2.4] Lu Q, Park D, Kalnitsky A, Chang C, Cheng C, Tay SP, King TJ, Hu C.Leakage current comparison between ultra-thin Ta2O5films and conventionalgate dielectrics. IEEE Electron Device Lett.1998;19:341.
    [2.5] Luan SZ, Liu HX, Jia RX, et al., The impact of high-k dielectrics on theperformance of schottky barrier source/drain (SBSD) ultra-thin body (UTB)SOI MOSFET,2008Acta Phys. Sin.57:4476(in Chinese).
    [2.6] Mohapatra NR, Desai MP, Narendra SG, et al., The effect of high-k gatedielectrics on deep submicrometer CMOS device and circuit performance,IEEE Trans. on Electron Devices,2002,49:826.
    [2.7] Chen Q, Wang LH, and Meindl JD, Fringe-induced barrier lowering (FIBL)included threshold voltage model for double-gate MOSFETs, Solid-StateElectronics,2005,49:271.
    [2.8] Chiang TK, Chen ML, A new analytical threshold voltage model forsymmetrical double-gate MOSFETs with high-k gate dielctrics, Solid-StateElectronics,2007,51:387.
    [2.9] Tsui BY, Chin LF, A comprehensive study on the FIBL of nanoscale MOSFETs,IEEE Trans. on Electron Devices,2004,51:1733.
    [2.10] Autran JL, Munteanu D, Bescond M, et al., A simulation analysis of FIBL indecananometer double-gate MOSFETs with high-k gate dielectrics, Journal ofNon-Crystalline Solids,2005,351:1897.
    [2.11] Yeap GCF, Krishnan S, Lin MR, Fringing-induce barrier lowering (FIBL) insub-100nm MOSFETs with high-k gate dielectrics, Electronics Letters,1998,34:1150.
    [2.12] Ma MW, Wu CH, Yang TY, et al., Impact of high-k offset spacer in65-nm nodeSOI devices, IEEE Electron Device Lett.,2007,28:238.
    [2.13] DL Kencke, W Chen, H Wang, S Mudanai, et al., Source-side barrier effectswith high-k dielectrics in50nMOSFETs,1999Device Research ConferenceDigest,1999,22.
    [2.14] DJ Frank and HSP Wong, Analysis of the design space available for high-kgate dielectrics in nanoscale MOSFETs, Super lattices and Microstructures,2000,28:485.
    [2.15] NR Mohapatra, MP Desai and VR Rao, Detailed Analysis of FIBL in MOSTransistors with High-k Gate Dielectrics, Proceedings of the16thInternationalConference on VLSI Design,2003,99.
    [2.16] ISE10.0USER manual, Integr. Syst. Eng., Inc., San Jose, CA95113.
    [2.17] Wilk GD, Wallace RM, Anthony JM, High-k gate dielectrics: current states andmaterials properties considerations, Journal of Appl. Phys.2001,89:5243.
    [2.18] Suehle S, Vogel EM, Edelstein MD, et al., Challenges of high-k gate dielectricsfor future MOS devices,6thInternational Symposium on PPID,2001,90.
    [2.19] Lai CH, Hu LC, Lee HM, et al., New stack gate insulator structure reduceFIBL effect obviously, Proceeding of VLSI-TSA,2001,216.
    [2.20] Cheng B, Cao M, Rao R, et al., The impact of high-k gate dielectric and metalgate electrodes on sub-100nm MOSFETs, IEEE Trans. on Electron Devices,1999,46:1537.
    [3.1] Lee BH, Song SC, Choi R, et al., Metal electrode/high-k dielectric gate-stacktechnology for power management, IEEE Trans. on Electron Device,2008,55:8.
    [3.2] International Technology Roadmap for Semiconductors,2005, San Jose, CA:Semiconductor Industry Association.
    [3.3] Kim NS, Austin T, Blaauw D, et al., Leakage current: Moore’s law meets staticpower, IEEE Computer Society,2003,68.
    [3.4] Tan SY, Challenges and performance limitations of high-k and oxynitride gatedielectrics for90/65nm CMOS technology, Microelectronics Journal,2007,38:783.
    [3.5] Robertson J, High dielectric constant oxides, Eur. Phys. J. Appl. Phys.,2004,28:265.
    [3.6] Lee BH, Oh J, Tseng HH, et al., Materials Today,2006,9:32.
    [3.7] Lu Q, Park D, Kalnitsky A, et al., Leakage current comparison betweenultra-thin Ta2O5films and conventional gate dielectrics, IEEE Electron DeviceLett.,1998,19:341.
    [3.8] Kencke DL, Chen W, Wang H, et al., Source-side barrier effects with veryhigh-k dielectrics in50nm Si MOSFETs, In:57thAnnual Device ResearchConference Digest,1999,22.
    [3.9] Autran JL, Munteanu D, Bescond M, et al., A simulation analysis of FIBL indecananometer double-gate MOSFETs with high-k gate dielectrics, Journal ofNon-Crystalline Solids,2005,351:1897.
    [3.10] Chen Q, Wang LH, James D, et al., Fringe-induced barrier lowering (FIBL)included threshold voltage model for double-gate MOSFETs, Solid-StateElectronics,2005,49:271.
    [3.11] Chiang TK, Chen ML, A new analytical threshold voltage model forsymmetrical double-gate MOSFETs with high-k gate dielectrics, Solid-StateElectronics,2007,51:387.
    [3.12] Mohapatra NR, Desai MP, Rao VR, Detailed analysis of FIBL in MOStransistors with high-k gate dielectrics, In: Proceedings of the16thInternationalConference on VLSI Design,2003,99.
    [3.13] Yeap GCF, Krishnan S, Lin MR, Fringing-induced barrier lowering (FIBL) insub-100nm MOSFETs with high-k gate dielectrics, Electronics Letters,1998,34:1150.
    [3.14] Tsui BY, Chin LF, A comprehensive study on the FIBL of nanoscale MOSFETs,IEEE Trans. on Electron Devices,2004,51:1733.
    [3.15] Huang HS, Huang CH, Wu YC, et al., Study on nano complementary metaloxide semiconductor gate leakage current, Japan J. Appl. Phys.,2003,42:2628.
    [3.16] Yang JH, Li GF, Liu HL, Off-state leakage current in nano-scale MOSFETwith Hf-based gate dielectrics, In:2ndIEEE International NanoelectronicsConference,2008,1189.
    [3.17] Yeo YC, Lu Q, Lee EC, et al., Direct tunneling gate leakage current intransistors with ultrathin silicon nitride gate dielectric, IEEE Electron DeviceLett.,2000,21:540.
    [3.18] Henson WK, Yang N, Kubicek S, et al., Analysis of leakage currents andimpact on off-state power consumption for CMOS technology in the100-nmregime, IEEE Trans.,2000,47:1393.
    [3.19] Huang HS, Huang CH, Wu YC, et al., The study on nano CMOS gate leakagecurrent, Japan J. Appl. Phys.,2003,42:2628.
    [3.20] Yang KN, Huang HT, Change MJ, et al., Characterization and modeling ofedge direct tunneling (EDT) leakage in ultra thin gate oxide MOSFETs, IEEETransactions on Electron Device,2001,48:1159.
    [3.21] Cellere G, Larcher L, Valentini MG, et al., Micro breakdown in small-areaultrathin gate oxides, IEEE Transctions on Electron Devices,2002,49:1367.
    [3.22] Saibal M, Cassondra N, Riza T C, et al., Gate Leakage Reduction for ScaledDevices Using Transistor Stacking, IEEE Trans. on Very Large ScaleIntegration (VLSI) Systems,2003,11:716.
    [3.23] Kang CY, Choi R, Song SC, et al., Effect of gate edge profile on off-stateleakage suppression in metal gate/high-k dielectric metaloxide semiconductorfield effect transistors, Appl. Phys. Lett.,2007,90:183501.
    [3.24] Taur Y, Ning TH,1998Fundamentals of Modern VLSI Devices (New York:Cambridge Univ. Press) p94-p95.
    [3.25] S M Sze, Kwok K Ng,2007Physics of Semiconductor Devices (3rdEdition)(New Jersey: John Wiley&Sons, Inc.) p333-p335.
    [3.26] Xie Q, Xu J, Ren TL, et al., A2D analytical model for SCEs in MOSFETs withhigh-k gate dielectric, Semiconductor Science and Technology,2010,25:035012.
    [3.27] Risho K, Buried layer engineering to reduce the drain-induced barrier loweringof sub-0.05μm SOI-MOSFET, Japanese J. Appl. Phys.,1999,38:2294.
    [3.28] Chamberlain SG, Ramanan S, Drain-induced barrier-lowering analysis in VSLIMOSFET devices using two-dimensional numerical simulations, IEEETransactions on Electron Devices,1986,33:1745.
    [3.29] Troutman RR, VLSI limitations from drain-induced barrier lowering, IEEE J.Solid-State Circuits,1979, SC-14:383.
    [3.30] The International Technology Roadmap for Semiconductors:2010.
    [4.1] Woo J, Terrill K, Vasuev PK, Two-dimensional analytic modeling of very thinSOI MOSFET’s, IEEE Trans. Electron Device,1990,37:1999.
    [4.2] Lin PS, Wu CY, A new approach to analytically solving the2-D Poisson’sequation and its application in short-channel MOSFET modeling, IEEE TransElectron Device,1987, ED-34:1947.
    [4.3] Chin SP, Wu CY, A new two-dimensional model for the potential distributionfor short gate-length MESFET’s and its applications, IEEE Trans ElectronDevice,1992,39:1928.
    [4.4] Guo JY, Wu CY, A new2D analytic threshold-voltage model for fully depletedshort-channel SOI MOSFET’s, IEEE Trans Electron Device,1993,40:1653.
    [4.5] Liu XY, Kang JF, Han LSR, et al., Threshold voltage model for MOSFETs withhigh-k gate dielectric, IEEE Electron Device Letters,2002,23:270.
    [4.6] Chen WS, Tian LL, Li ZJ, Unified MOSFET short channel factor usingvariational method, Chinese journal of semiconductors,2000,21:431.
    [4.7] Pimbley JM, Meindl JD, MOSFET Scaling Limits Determined bySub-Theshold Conduction, IEEE Trans. Elec. Dev.,1989, ED-36:1711.
    [4.8] Ushiki T, Yu MC, Hirano Y, et al., Reliable tantalum-gate fully-depleted-SOIMOSFET technology featuring low-temperature processing, IEEE Trans.Electron Devices,1996,43:1467.
    [4.9] Maiti B, Tobin PJ, Hobbs C, et al., PVD TiN metal gate MOSFET’s on bulksilicon and full depleted silicon-on-insulator (FDSOI) substrates for deepsub-quarter micron CMOS technology, In: IEDM Tech. Dig. Piscataway, NJ,USA: IEEE,1998,781.
    [4.10] Uchid K, Koga J, Ohba R, et al., Experimental evidences ofquantum-mechanical effects on low-field mobility, gate-channel capacitance,and threshold voltage of ultrathin body SOI MOSFETs, In: IEDM Tech. Dig.USA: Institute of Electrical and Electronics Engineers Inc,2001,633.
    [4.11] Choi CH, Yu Z, Dutton RW, Two-dimensional polysilicon quantum mechanicaleffects in double-gate SOI, In: IEDM Tech. Dig. Piscataway, NJ, USA: IEEE,2002,723.
    [4.12] Integrated Systems Engineering Corp.2005ISE-TCAD Mdraw SimulationUser’s Manual Zurich, Switzerland,2005,59.
    [4.13] Liu ZH, Hu CM, Huang JH, et al., Threshold voltage model fordeep-submicrometer MOSFET’s, IEEE Trans. Electron Device,1993,40:86.
    [4.14] Terrill KW, Hu C, Ko PK, An analytical model for the channel electric field inMOSFET with graded-drain structure, IEEE Electron Device Letters,1984,EDL-5:440.
    [4.15] Yu CH, Han MH, Cheng HW, et al., Statisitcal simulation of Metal-gatework-function fluctuation in high-k/metal-gate devices, Proceedings of the2010International Conference on SISPAD,2010, p153.
    [4.16] Li J, Liu HX, Li B, et al., Threshold voltage analytical model for strained SiSOI MOSFET with high-k dielectric, Acta Phys. Sin.,2010,59:8131.
    [4.17] Luan SZ, Liu HX, Jia RX, et al., The impact of high-k dielectrics on theperformance of schottky barrier source/drain (SBSD) ultra-thin body (UTB)SOI MOSFET, Acta Phys. Sin.,2008,57:4476.
    [4.18] Robertson J, High dielectric constant gate oxides fof metal oxide Si transistors,Rep. Prog. Phys.,2006,69:327.
    [4.19] Cheng HW, Li YM, Random work function variation induced threshold voltagefluctuation in16-nm bulk FinFET devices with high-k-metal-gate material,14th International Workshop on Computational Electronics (IWCE),2010, p1.
    [4.20] Frye A, Galyon GT, Palmer L, Crystallographic texture and whiskers inelectrodeposited tin films, IEEE Trans. Electron. Packag. Manf.,2007,30:2.
    [4.21] Dadgour HF, Endo K, De VK, et al., Grain-orientation induced work functionvariation in nanoscale metal-gate transistors-Part I: modeling, analysis, andexperimental validation, IEEE Trans. Electron Devices,2010,57:2504.
    [4.22] Dadgour HF, De VK, Banerjee K, Statistical modeling of metal-gatework-function variability in emerging device technologies and implications forcircuit design, IEEE International Electron Devices Meeting,2008, p1.
    [4.23] Rasouli SH, Endo K, Banerjee K, Work-function variation induced fluctuationin bias temperature-instability characteristics of emerging metal-gate devicesand implications for digital design, IEEE/ACM International Conference onComputer-Aided Design,2010, p714.
    [4.24] Kerber A, Cartier E, Pantisano L, et al., Origin of the threshold voltageinstability in SiO2/HfO2dual layer gate dielectrics, IEEE Electron Device Lett.,2003,24:87.
    [4.25] Jha R, Gurganos J, Kim YH, et al., A capacitance-based methodology for workfunction extraction of metals on high-k, IEEE Electron Device Lett.,2004,25:420.
    [4.26] Wen HC, Choi R, Brown GA, et al., Comparison of effective work functionextraction methods using capacitance and current measurement techniques,IEEE Electron Device Lett.,2006,27:598.
    [4.27] Choi K, Wen HC, Bersuker G, et al., Mechanism of flatband voltage roll-offstudied with Al2O3film deposited on terraced oxide, Applied Physics Lett.,2008,93:133506.
    [4.28] Lee BH, Oh J, Tseng H H, et al., Gate stack technology for nanoscale devices,Mater. Tody,2006,9:32.
    [4.29] Foster AS, Shluger AL, Nieminen RM, Mechanism of interstitial oxygendiffusion in hafnia, Phys. Rev. Lett.,2002,89:225901.
    [4.30] Zheng XH, Huang AP, Xiao ZS, et al., Origin of flat-band voltage sharp roll-offin metal gate/high-k/ultrathin-SiO2/Si p-channel metal oxide semiconductorstacks, Applied Physics Lett.,2010,97:132908.
    [4.31] Young YY, Short-channel effect in fully depleted SOI MOSFETs, IEEE Trans.Electron Devices,1989,36:399.
    [5.1]谷林瑛等译.电化学方法[M]北京:化学工业出版社,1984
    [5.2]王振龙.微细加工技术[M]国防工业出版社,2005.08
    [5.3]许小亮,徐军,徐传明等,Si表面上生长的ZnO薄膜的阴极射线荧光,发光学报,2003,24(2):171。
    [5.4] Ohkubo I, Ohtomo A, Ohnishi T, et al., In-plane and polar orientations of ZnOthin films grown on atomically flat sapphire, Surface Science,1999,443:1043.
    [5.5] Suntola T, Anston T, Method for producing compound thins films, US Patent:4058430,1977.
    [5.6] Bedair SM, Tischler MA, Katsuyama T, et al., Atomic layer epitaxy of III-Vbinary compounds, Appl. Phys. Lett.,1985,47(1):5l.
    [5.7] Ritala M, Leskela M, Dekker JP, et al., Perfectly conformal TiN and Al2O3films deposited by atomic layer deposition, Chem. Vap. Deposition,1999,5(1):7.
    [5.8] Ritala M, Advanced ALE processes of amorphous and polycrystalline film,Appl. Surf. Sci.,1997,112:223.
    [5.9] Leskela M, Ritala M, Atomic layer deposition chemistry: Recent developmentsand future challenges, Angew. Chem. Int. Ed.,2003,42:5548.
    [5.10] Campbell SA, The Science and Engineering of Mieroeleetronic Fabrication, lsted, Oxford University Press, Oxford NewYork,1996.
    [5.11] Gardiner, DJ, Practical Raman spectroscopy, Springer-Verlag,1989, ISBN978-0387502540.
    [5.12] Yang KJ, Hu CM, MOS capacitance measurements for high-leakage thindielectrics, IEEE Transactions on Electron Devices,1999,46:1500.
    [5.13] Terman LM, An investigation of surface states at a silicon/silicon oxideinterface employing metal-oxide-silicon diodes, Solid-State Electron,1962,5:285.
    [5.14] Berglund CN, Surface states at steam-grown silicon/silicon dioxide interfaces,IEEE Transact. Electron Devices,1966, ED-13:701.
    [5.15] Castagne R, Vapaille A, Description of the SiO2/Si interface properties bymeans of very low frequency MOS capacitance measurements, Surface Science,1971,28:157.
    [5.16] Molnar B, Flood JJ, Francombe MH, Fibered and epitaxial growth in sputteredfilms of GaAs, J. Appl. Phys.,1964,35:3354.
    [5.17] Oldham WG, Milnes AG, Solid-State Electron, Interface states in abruptsemiconductor heterojunctions,1964,7:153.
    [5.18] Oldham WG, Milnes AG, n-n Semiconductor heterojunctions, Solid-StateElectron,1963,6:121.
    [6.1]相文峰,颜雷,谈国太,等,硅基集成电路的发展和新一代栅极氧化物材料的研究现状,前沿进展,2003,32:228.
    [6.2]周晓强,凌惠琴,毛大立,等,高介电常数栅介质材料研究动态,微电子学,2005,35:163.
    [6.3]赵毅,高K栅介质研究进展,半导体技术,2004,29:18.
    [6.4] Lee BH, Song SC, Choi R, et al., Metal electrode/high-k dielectric gate-stacktechnology for power management, IEEE Trans. on Electron Device,2008,55:8.
    [6.5] Tan SY, Challenges and performance limitations of high-k and oxynitride gatedielectrics for90/65nm CMOS technology, Microelectronics Journal,2007,38:783.
    [6.6] Wilk GD, Wallace RM, Anthony JM, High-k gate dielectrics: current states andmaterials properties considerations, J. Appl. Phys.,2001,89:5243.
    [6.7] Chaneliere C, Autran JL, Devine RAB, et al., Tantalum pentoxide (Ta2O5) thinfilms for advanced dielectric applications, Mater. Sci. Eng. R.,1998,22:269.
    [6.8] McKee RA, Walker FJ, Chisholm MF, Crystalline oxides on silicon: The firstfive monolayers, Phys. Rev. Lett.,1998,81:3014.
    [6.9] Gusev EP, Copel M, Cartier E, et al., High-resolution depth profiling inultrathin Al2O3films on Si, Appl. Phys. Lett.,2000,76:176.
    [6.10] Balog M, Schieber M, Patai S, et al., Thin films of metal oxides on silicon bychemical vapor depostion with organometallic compounds, J. Cryst. Growth,1972,17:298.
    [6.11] Kang L, Lee BH, Qi WJ, et al., Electrical characteristics of highly reliableultrathin hafnium oxide gate dielectric, IEEE Electron Device Letter,2000,21:181.
    [6.12] Ma Y, Ono Y, Stecker L, et al., Zirconium oxide based gate dielectrics withequivalent oxide thickness of less than1.0nm and performance of submicronMOSFET using a nitride gate replacement process, Tech. Dig.-Int. ElectronDevices Meet.,1999,149.
    [6.13] Manchanda L, Green ML, van Dover RB, et al., Tech. Dig.-Int. ElectronDevices Meet.,2000,23.
    [6.14] Yu HY, Li MF, Cho BJ, et al., Energy gap and band alignment for(HfO2)x(Al2O3)1-xon (100) Si, Appl. Phys. Lett.,2002,81:376.
    [6.15] Ho MY, Gong H, Wilk GD, et al., Suppressed crystallization of Hf-based gatedielectrics by controlled addition of Al2O3using atomic layer depostion. Appl.Phys. Lett.,2002;81:4218.
    [6.16] Mikhelashvili V, Brener R, Kreinin O, et al., Characteristics ofmetal-insulator-semiconductor capacitors based on high-k HfAlO dielectricfilms obtained by low-temperature electron-beam gun evaporation, Appl. Phys.Lett.,2004,85:5950.
    [6.17] Song MK, Kang SW, Rhee SW, Growth of Hafnium Aluminate thin films bydirect liquid injection metal organic CVD using Hf[N(C2H5)2]4andAl(OiC3H7)3, J. Electrochem. Soc.,2005,152: C108.
    [6.18] Li Q, Wang SJ, Ng TH, et al., High thermal stability (HfO2)1-x(Al2O3)xfilmfabricated by dual-beam laser ablation, Thin Solid Film,2006,504:45.
    [6.19] Cho MH, Chung KB, Chang HS, et al., Interfacial reaction depending on thestack structure of Al2O3and HfO2during film growth and post annealing, Appl.Phys. Lett.,2004,85:4115.
    [6.20] Nishimura T, Okazawa T, Hoshino Y, et al., Atomic scale characterization ofHfO2/Al2O3thin films grown on nitrided and oxidized Si substrates, J. Appl.Phys.,2004,96:6113.
    [6.21] Okada T, Shahjahan M, Sawada K, et al., Fabrication of crystalline HfO2high-k dielectric films deposited on crystalline γ-Al2O3films, Jpn. J. Appl.Phys.,2005,44:2320.
    [6.22] Mikhelashvili V, Meyler B, Shneider J, et al., Electrical properties of MIScapacitor using low temperature electron beam gun-evaporated HfAlOdielectrics. Microelectronics Reliability2005;45:933.
    [6.23] Ye C, Wang Y, Zhang J, et al., Evidence of interface conversion and electricalcharacteristics improvement of ultra-thin HfTiO films upon rapid thermalannealing. Appl. Phys. Lett.2011;99:182904.
    [6.24] Curreem KKS, Lee PF, Wong KS, et al., Comparison of interfacial andelectrical characteristics of HfO2and HfAlO high-k dielectrics oncompressively strained Si1-xGex, Appl. Phys. Lett.,2006,88:182905.
    [6.25] Kukli K, Ritala M, Leskela M, Atomic layer deposition of HfO2thin films andnanolayerd HfO2-Al2O3-Nb2O5dielectrics, J. Mater. Science: Mater. InElectron,2003,14:361.
    [6.26] Jayasundere N, Smith BV, Dielectric constant for binary piezoelectric0–3composites, J. Appl. Phys.,1993,73:2462.
    [6.27] Kirsh PD, Kang CS, Lozano J, et al., Electrical and spectroscopic comparisonof HfO2/Si interfaces on nitride and un-nitrided Si(100), J. Appl. Phys.,2002,91:4353.
    [6.28] Jin H, Oh SK, Kang HJ, et al., Band alignment in ultrathin Hf-Al-O/Siinterfaces, Appl. Phys. Lett.,2005,87:212902.
    [6.29] Lucovsky G, Rayner GB, Jr. Microscopic model for enhanced dielectricconstants in low concentration SiO2-rich noncrystalline Zr and Hf silicatealloys, Appl. Phys. Lett.,2000,77:2912.
    [6.30] Lucovsky G, International Workshop on Gate Isolator, Tokyo,2001.
    [6.31] Guittet MJ, Crocombetter JP, and Gautier-Soyer M, Bonding and XPSchemical shifts in ZrSiO4versus SiO2and ZrO2: charge transfer andelectrostatic effects, Phys. Rev. B,2001,63:125117.
    [6.32] Kaushik VS, O’Sullivan BJ, Pourtois G, et al., Estimation of fixed chargedensities in hafnium silicate gate dielectrics, IEEE Trans. Electron Device,2006,53:2627.
    [6.33] Nabatamea T, Iwamotoa K, Akiyamaa K, et al., What is the essence of VFBshifts in high-k gate stacks, ECS Trans.,2007,11:543.
    [6.34] Kim HD, Roh Y, Lee Y, et al., Effects of annealing temperature on thecharacteristics of HfSixOy/HfO2high-k gate oxides, J. Vac. Sci. Technology,2004,22:1347.
    [6.35] Choi KJ, Shin WC, Yoon SG, Effect of annealing conditions on a hafniumoxide reinforced SiO2gate dielectric deposited by plasma-enhancedmetallorganic CVD, J. Electrochem. Soc.,2002,149:18.
    [6.36] Delabie A, Swerts J, Elshocht SV, et al., Ozone based atomic layer depositionof hafnium oxide and impact of nitrogen oxide species, J. Electrochem. Soc.2011,158:259.
    [6.37] Cho M, Park HB, Park J, Comparison of properties of an Al2O3thin layersgrown with renote O2plasma, H2O, or O3as oxidants in an ALD process forHfO2gate dielectrics, J. Electronchem. Soc.,2005,152: F49.
NGLC 2004-2010.National Geological Library of China All Rights Reserved.
Add:29 Xueyuan Rd,Haidian District,Beijing,PRC. Mail Add: 8324 mailbox 100083
For exchange or info please contact us via email.